KR100713190B1 - Euv리소그래피를 위한 기판을 코팅하는 방법 및포토레지스트 층을 구비한 기판 - Google Patents

Euv리소그래피를 위한 기판을 코팅하는 방법 및포토레지스트 층을 구비한 기판 Download PDF

Info

Publication number
KR100713190B1
KR100713190B1 KR1020040036001A KR20040036001A KR100713190B1 KR 100713190 B1 KR100713190 B1 KR 100713190B1 KR 1020040036001 A KR1020040036001 A KR 1020040036001A KR 20040036001 A KR20040036001 A KR 20040036001A KR 100713190 B1 KR100713190 B1 KR 100713190B1
Authority
KR
South Korea
Prior art keywords
polymers
top coating
euv
substrate
photoresist layer
Prior art date
Application number
KR1020040036001A
Other languages
English (en)
Other versions
KR20040101025A (ko
Inventor
판잉겐슈에나우코엔
디리히스마르첼마티스테오도르마리에
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20040101025A publication Critical patent/KR20040101025A/ko
Application granted granted Critical
Publication of KR100713190B1 publication Critical patent/KR100713190B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

본 발명은 기판상에 포토레지스트 층을 코팅하는 단계를 포함하는, EUV 리소그래피를 위한 기판을 코팅하는 방법에 관한 것이다. 또한, 리소그래피 투영장치를 이용하는 디바이스 제조방법에 관한 것이기도 하며, 상기 방법은,
- 기판상에 포토레지스트 층을 코팅하여, 부분적 또는 전체적으로 포토레지스트 층으로 덮힌 기판을 제공하는 단계;
- 방사선시스템을 이용하여 방사선의 투영빔을 제공하는 단계;
- 패터닝수단을 이용하여 상기 투영빔의 단면에 패턴을 부여하는 단계; 및
- 상기 포토레지스트 층의 타겟부상으로 상기 방사선의 패터닝된 빔을 투영시키는 단계를 포함한다.
본 발명은 또한, 포토레지스트 층을 구비한 기판에 관한 것이기도 하다.

Description

EUV리소그래피를 위한 기판을 코팅하는 방법 및 포토레지스트 층을 구비한 기판 {Method for coating a substrate for EUV lithography and substrate with photoresist layer}
도 1은 본 발명의 실시예에 따른 리소그래피 투영장치의 도면;
도 2는 포토레지스트 층 및 EUV 투광성 최상부 코팅을 구비한 기판의 도면;
도 3은 파장의 함수에 대한 10nm의 실리콘 층의 투과율울 나타낸다.
본 발명은, 기판상에 포토레지스트 층을 코팅하는 단계를 포함하는, EUV리소그래피를 위한 기판을 코팅하는 방법에 관한 것이다. 본 발명은,
- 기판상에 포토레지스트 층을 코팅하여, 부분적 또는 전체적으로 포토레지스트 층으로 덮힌 기판을 제공하는 단계;
- 방사선시스템을 이용하여 방사선의 투영빔을 제공하는 단계;
- 패터닝수단을 이용하여 상기 투영빔의 단면에 패턴을 부여하는 단계; 및
- 상기 포토레지스트 층의 타겟부상으로 상기 방사선의 패터닝된 빔을 투영시키는 단계를 포함하는, 리소그래피 투영장치를 이용하는 디바이스 제조방법에 관 한 것이기도 하다.
본 발명은 또한 포토레지스트 층을 구비한 기판에 관한 것이다.
리소그래피장치에서, 기판상으로 묘화될 수 있는 피처의 크기는 투영 방사선의 파장에 의하여 제한된다. 고밀도 디바이스들 및 이에 따라 고속의 동작 속도를 갖는 집적 회로를 생산하기 위하여, 보다 작은 피처들을 묘화할 수 있는 것이 바람직하다. 최근의 리소그래피 투영장치는 수은램프 또는 엑시머 레이저에 의하여 발생된 자외선 광을 채택하지만, 예를 들어 약 13nm의 보다 짧은 파장의 방사선을 사용하는 것이 제안되어 왔다. 이러한 방사선은 극자외선(EUV) 또는 소프트 x-레이로 일컬어지며 여타의 가능한 소스들로는 예를 들어, 레이저 생성 플라즈마 소스, 방전 플라즈마소스 또는 전자 스토리지 링으로부터 나온 싱크로트론 방사선이 포함된다.
EUV리소그래피를 이용하면, 자외선(UV 예를 들어, 365nm) 또는 딥 자외선(DUV 예를 들어, 248nm 또는 193nm) 리소그래피와 비교하여, 공정조건, 장치 및 리소그래피 방법에 여타의 요건들이 부과된다. EUV파장에서의 높은 흡수율로 인해 진공환경이 요구된다.
포토레지스트의 이용과 관련하여, 종래의 기술에서는 보호용 코팅이 개시된다. US 5240812호는 예를 들어, 기판이 산성 촉매 레지스트(acid catalysed resist)로 코팅되고, 포트레지스트 층위에 제2폴리머 코팅이 제공되는 방법을 개시한다. US 5240812호에 따르면, 이러한 코팅들은 UV에 대하여 사용될 수 있지만, 또한 e-빔 및 x-레이 방사선에도 사용될 수 있다. 코팅은 유기물 및 무기물계 (organic and inorganic base)의 증기들을 통과시키지 않는다. 또한, Van Ingen Schenau 등(Olin Microlithography seminar, 10월 27-29일, 1996, San Diego CA)은 (DUV 적용을 위해)레지스트상의 최상부 코팅을 설명하고 있다. 최상부 코팅은 공기중의(airborne) 오염물에 대하여 포토레지스트를 보호하는데 사용된다.
단점은, EUV리소그래피에 적용될 수 있는 통상적으로 입수가능한 (Clariant로부터의)최상부 코팅형 Aquatar가 수성계(on water basis)라는 것이다. 이것은 물에 의한 EUV광의 불필요한 흡수를 일으킬 수 있다. 또한, 물에 의한 EUV방사선의 불필요한 흡수 및/또는 EUV리소그래피 시스템에 사용된 거울 광학기기들의 저하(degrade)를 가져올 수도 있는 불필요한 물의 가스방출을 유발할 수도 있다. 이러한 방식으로, 재현가능성이 보다 떨어지는 리소그래피 결과들이 얻어질 수 있다.
따라서, 본 발명의 목적은, EUV에 대하여 투과성을 갖고 오염으로부터 보호하는, EUV리소그래피를 위한 포토레지스트 층상에 비-수성계(non-aqueous based) 최상부 코팅을 제공하는 것이다.
본 발명에 따르면, 서문에 따른 EUV리소그래피를 위한 기판을 코팅하는 방법이 제공되며, 포토레지스트 층상에 EUV 투과성 최상부 코팅을 제공하는 단계를 포함하는 것을 특징으로 하며, EUV 투과성 최상부 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹을 포함하는 폴리머를 포함한다.
특히, 서문에 명기된 바와 같이, 리소그래피 투영장치를 이용하는 디바이스 제조방법이 제공되며, 포토레지스트 층상에 EUV 투과성 최상부 코팅을 제공하는 단계를 포함하는 것을 특징으로 하고, EUV 투과성 최상부 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹을 포함하는 폴리머를 포함한다.
이러한 EUV투과성 최상부 코팅의 이점은, 이러한 층이 기판에 걸쳐 대기압하에서 존재할 수 있는 오염에 대하여 포토레지스트 층을 보호한다는 것이다. 또한, 탄화수소, 포토레지스트로부터 유래된 F, Si, P, S 및 Cl을 포함하는 그룹으로부터 선택된 원자들을 포함하는 화합물들과 같은 여타의 화합물들 및 존재한다면, 포토레지스트로부터의 물과 같은 오염물질의 가스방출을 감소시킨다.
또 다른 이점은 본 발명의 실시예에 따른 EUV 투과성 최상부 코팅이 실질적으로 EUV방사선에 대하여 투과성을 갖지만, 불필요한 방사선 예를 들어, UV 또는 DUV방사선에 대해서는 비-투과성을 갖거나 또는 약간의 투과성만을 가질 수 있다는 것이다. 이것은 예를 들어, 리소그래피 시스템에 존재하는 스펙트럼 필터들의 개수를 감소시킬 수도 있는 증가된 스펙트럼 선택성(selectivity)을 가져온다. 또한, 또 다른 실시예에서, EUV 투과성 최상부 코팅은 비교적 높은 컨덕턴스(conductance)의 코팅으로 인한 가능한 전하(charge)를 소산(dissipate)시키고 전도(conduct)할 수 있고, 이에 따라 최상부 코팅이 전하 소산 또는 전도층으로 사용될 수 있다.
일 실시예에서, 본 발명은 EUV 투과성 최상부 코팅이 다음의 원자들 : 베릴 륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 포함하는 방법을 포함한다. 이들 요소들을 포함하는 코팅들은, (D)UV방사선 보다는 EUV방사선에 대하여 보다 투과성을 갖는 스펙트럼 필터로서 작용할 수 있다. 예를 들어, EUV(예를 들어, 13.5nm)에 대한 10nm Si층의 투과율은 대략 98%이며, DUV(예를 들어, 193nm)에 대해서는 단지 20%정도이다. 이것은, 본 발명에 따른 EUV 투과성 최상부 코팅이 순수 스펙트럼 필터의 필요성을 감소시키거나 순수 스펙트럼 필터의 개수 또는 예를 들어, 리소그래피장치와 같은 EUV광학시스템내의 여타의 파장 선택성 광학기기의 개수를 감소시킬 수 있다는 것을 의미한다.
또 다른 실시예에서, 본 발명은, 최상부 코팅이 폴리머인 방법을 포함한다. 예를 들어, 이것은, 폴리머가 500 내지 15000 g/mol, 바람직하게는 1000 내지 10000 g/mol의 분자량을 갖는 방법일 수 있다. 폴리머는 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹을 포함할 수 있다.
또 다른 실시예에서, 본 발명은 폴리머들이 Si, C 및 H계인 예를 들어, 최상부 코팅이 다음의 폴리머들(또는 폴리머 그룹들) : 폴리실란류(예를 들어, 폴리디메틸실란, 폴리메틸하이드로실란), 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌(PHS), 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들(예를 들어, Si-함유 Novolac) 중의 1이상의 폴리머를 포함하는 방법을 포함한다.
최상부 코팅으로 사용될 수 있는 가능한 폴리머의 예로는 Si-함유 Novolac이 있다. Novolac은 높은 DUV흡수성을 가지므로, EUV/DUV 선택성을 향상시킨다. Novolac과 같은 폴리머들은 EUV/DUV선택도를 더 향상시키기 위하여 실릴화될 수 있다.
또 다른 실시예에서, 붕소를 포함하는 즉, B, C 및 H계인 폴리머 예를 들어, 카르보란 폴리아미드 또는 붕소-로딩된(boron-loaded) 폴리머(예를 들어, 붕소 로딩 폴리이미드)가 사용된다.
또 다른 실시예에서, 본 발명은 최상부 코팅이 용매인 방법을 포함한다. 특정 실시예에서, 최상부 코팅의 용매는 기판상의 포토레지스트를 코팅하기 위한 용매로도 사용되는 용매이다(즉, EUV 투과성 최상부 코팅에서와 마찬가지로 포토레지스트 층에도 동일한 용매가 사용된다).
따라서, 본 특정 실시예에서는, 최상부 코팅은 a) 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상의 원자의 조합, b) 용매(예를 들어, 포토레지스트 용매) 및 c) 폴리머를 포함할 수 있다. 본 실시예의 또 다른 형태에서, EUV 투과성 최상부 코팅의 폴리머는 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 포함하고, 탄소를 포함하는 EUV 투과성 최상부 코팅의 폴리머는 또한 여타의 상술된 원자들 중의 하나를 포함한다. 이러한 최상부 코팅들은 예를 들어, 스핀코팅에 의하여 포토레지스트 층의 표면상에 적용될 수 있다. 따라서, 또 다른 특정 실시예에서, 최상부 코팅은 예를 들어, a) 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 중의 1이상의 원자를 구비한 그룹을 포함하는 폴리머 및 b) 용매(예를 들어, 포토레지스트 용매)를 포함할 수 있다.
EUV리소그래피를 위한 기판을 코팅하는 본 발명에 따른 방법은,
- 예를 들어, 세정 및 건조하는 단계를 포함하는, 기판의 표면을 준비하는 단계;
- 예를 들어, 상기 기판의 표면상에 포토레지스트 층을 스핀 코팅하는 단계를 포함하는, 상기 기판의 표면상에 포토레지스트 층을 코팅하는 단계;
- 소프트베이크 동안에 상기 기판을 가열하는 단계(가열에 의하여 상기 포토레지스트 층의 부분적인 증발이 일어난다);
- '칠링(chilling)' 동안에 상기 기판을 냉각하는 단계;
- 예를 들어, 상기 포토레지스트 층의 표면상에 EUV 투과성 최상부 코팅을 스핀 코팅하는 단계를 포함하는, 상기 포토레지스트 층의 표면상에 EUV 투과성 최상부 코팅을 제공하는 단계를 포함할 수 있다. 대안적으로, 본 실시예에서는 상기 포토레지스트 층의 코팅 직후에, 상기 포토레지스트 층상에 EUV 투과성 최상부 코팅이 적용된다.
또 다른 실시예에서, EUV 투과성 최상부 코팅이 화학적 기상성장(CVD)에 의하여 포토레지스트 층의 표면상에 제공된다. 이러한 방식으로, 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 포함하는 EUV투과성 최상부 코팅이 생성된다. 이러한 최상부 코팅들은 예를 들어, Si, C 및 H계; 또는 B, C 및 H계 또는 그 조합일 수 있다.
특정 실시예에서, 폴리머 및, 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오 븀 및 몰리브덴 중의 1이상의 원자가 CVD에 의하여 최상부 코팅으로 코팅될 수 있다. 이러한 방식으로, 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중 1이상을 포함하는 원자폴리머 최상부 코팅이 제공된다. 본 실시예의 또 다른 형태에서, CVD에 의하여 제공된, EUV투과성 최상부 코팅의 폴리머는 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 포함하고, 탄소를 포함하는 EUV 투과성 최상부 코팅의 폴리머는 또한 여타의 상술된 원자들 중의 하나를 포함한다. 이러한 방식으로, 예를 들어, Si, C 및 H계 또는 B, C 및 H계의 EUV투과성 최상부 코팅이 CVD에 의하여 얻어질 수 있다.
본 발명의 실시예는, 최상부 코팅이, EUV방사선의 투과율이 50%이상, 바람직하게는 80%이상인 최종 두께를 갖는 EUV 투과성 최상부 코팅을 만드는 것이 바람직하다. 또 다른 실시예에서, 본 발명은, 최상부 코팅이 DUV 및 UV방사선에 대하여 50%미만의 투과율을 갖는 방법을 제공한다. 최상부 코팅은 20nm 내지 100nm, 바람직하게는 30nm 내지 80nm의 최종 두께를 가질 수 있다.
본 발명의 또 다른 형태에서, 본 발명은 포토레지스트 층상의 최상부 코팅으로 사용하기 위한 코팅에 관한 것이기도 하며, 상기 코팅은 다음의 원소들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹을 포함하는 폴리머를 포함하고, 상기 코팅은 적어도 a) 포토레지스트 층으로부터의 오염물의 가스방출을 감소시키고, b) 포토레지스트의 오염을 방지할 수 있다. 이러한 코팅은 포토레지스트 층상의 최상부 코팅으로 사용될 수 있으며, 이에 따라 오염물 배리어 기능(contaminant barrier function)을 제공한다. 이러한 오염물 배리어는 예를 들어, 리소그래피장치내의 포토레지스트로부터의 화합물의 가스방출을 감소시키거나 방지할 수 있다. 이러한 화합물들(오염물들)은 예를 들어, 물, 탄화수소 및 F, Si, P, S 및 Cl로 이루어진 그룹으로부터 선택된 1이상의 원자들을 포함하는 화합물들로 이루어진 그룹으로부터 선택된 화합물들이다. 그러나, 상기 배리어는 최상부 코팅을 통하여 포토레지스트로부터 오염물의 확산을 감소시키거나 방지(예를 들어, 리소그래피장치내의 광학기기들의 보호)할 뿐만 아니라, 포토레지스트의 오염을 감소하거나 방지(포토레지스트의 보호)할 수도 있다. 오염물 배리어는 예를 들어, 가스방출을 적어도 50% 또는 예를 들어, 80%까지 감소시켜, 여하한의 방향에서 최상부 코팅을 통하여 오염물 확산의 실질적인 감소를 가져올 수 있는 것이 바람직하다.
상술된 실시예들과 조화하여, 본 발명은 또한, 예를 들어, 상기 코팅이 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리히드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 실시예에 관한 것이다; 상기 코팅은 EUV투과성을 가지고; 상기 코팅은 EUV방사선의 투과율이 50%이상이 되는 두께를 가지며; 상기 코팅은 50%미만의 DUV 및 UV방사선에 대한 투과율을 가진다.
본 발명은 또한, 포토레지스트 층을 구비한 기판에 관한 것이며; 상기 기판은 포토레지스트 층상에 EUV투과성 최상부 코팅을 가지고, 상기 EUV투과성 최상부 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브 덴 중의 1이상의 원자를 구비한 그룹을 포함하는 폴리머를 포함한다.
리소그래피장치에 적용되는 경우, "기판"은 웨이퍼로 정의된다. 이러한 기판(웨이퍼)(예를 들어, 8 또는 12inch 웨이퍼들과 같은 리소그래피용의 기판들 또는 웨이퍼들)은 종래의 기술에 공지되어 있다.
포토레지스트 층은 일반적으로 EUV 포토레지스트를 포함할 것이다. 또 다른 형태에서, 본 발명은 예를 들어, EUV리소그래피에서, 포토레지스트 층상의 EUV투과성 최상부 코팅의 사용에 관한 것이기도 하다. 이러한 EUV투과성 최상부 코팅은 예를 들어, 레지스트 및/또는 레지시트의 오염 방지를 위한 보호용 코팅으로 사용될 수 있다.
본 발명의 또 다른 형태에 따르면, 본 발명의 방법을 이용하여 제조되는 디바이스가 제공된다.
본 발명의 또 다른 형태에서, 본 발명은,
- 방사선의 투영빔을 공급하는 방사선 시스템;
- 소정의 패턴에 따라 상기 투영빔을 패터닝시키는 역할을 하는 패터닝 수단을 지지하는 지지구조체;
- 기판을 잡아주는 기판테이블;
- 상기 기판의 타겟부상으로 상기 패터닝된 빔을 투영시키는 투영시스템; 및
- 부분적 또는 전체적으로 포토레지스트 층으로 덮힌 EUV리소그래피용 기판을 포함하는 리소그래피 투영장치에 있어서,
다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브 덴 중의 1이상을 구비한 그룹으로 이루어진 폴리머를 포함하는, 상기 포토레지스트 층상의 EUV 투과성 최상부 코팅을 더 포함하는 것을 특징으로 한다.
상기 방법, 코팅 및 본 발명의 포토레지스트 층을 구비한 기판에 대하여 상술된 실시예들은 본 발명의 리소그래피장치에 관한 것이기도 하다.
본 명세서에서, "다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹으로 이루어진 폴리머"라 함은 이러한 그룹들 중의 적어도 하나를 구비한 폴리머를 가리킨다. 폴리머는 예를 들어, 폴리실란과 같은 보다 많은 그룹들을 가질 수도 있다. 이러한 "그룹"은 이들 원자들 중 1이상을 포함할 수 있다. 당업자들은, 이러한 그룹이 예를 들어, Si 및 C를 포함하는 실란그룹과 같은 여타의 원자들을 포함할 수 있음을 이해할 것이다. 본 발명에서 "그룹"이라는 용어는 실란 또는 실록산 그룹들과 같이 당업자에게 잘 알려져 있는 화학적 그룹들을 나타내는 것이다. 예를 들어, 이들 원자들 중의 1이상의 원자가 로딩된 폴리머(예를 들어, 붕소 로딩 폴리이미드)를 나타낼 수도 있다. 본 명세서에서 "폴리머", "그룹", "원자" 등등은 폴리머들의 조합, 그룹들의 조합 및 원자들의 조합을 각각 의미할 수도 있다.
본 명세서에서는 IC의 제조에 있어서의 리소그래피장치의 사용례에 대하여 언급하였으나, 본 발명의 방법이 이러한 장치의 사용에만 제한되는 것이 아니라, 다른 여러 가능한 응용례를 가지고 있음이 명백히 이해되어야 할 것이다. 예를 들어, 상기 장치는 집적 광학시스템, 자기영역메모리용 유도 및 검출패턴, 액정표시패널, 박막자기헤드 등의 제조에도 이용될 수 있다. 당업자라면, 이러한 대안적인 적용례와 관련하여, 본 명세서에서 사용된 "레티클" 또는 "다이"와 같은 용어가 각각 "마스크" 및 "타겟부" 와 같은 좀 더 일반적인 용어로 대체되고 있음을 이해할 수 있다.
본 발명에서 "EUV 방사선"이라는 용어는 예를 들어, 13nm 주위의, 5nm 내지 20nm 정도의 파장을 갖는 모든 형식의 전자기 방사선을 의미하는 것이다. "층"이라는 용어는 다수의 층들을 포함할 수도 있다. "코팅(coat 또는 coating)"이라는 용어는 "층"이라는 용어를 포함한다.
이제, 첨부된 개략적인 도면을 참조하여, 단지 예시적인 방법으로 본 발명의 실시예들이 설명되며, 도면에서 대응하는 참조부호는 대응하는 부분을 나타낸다.
"패터닝수단(patterning means)"이라는 용어는 기판의 타겟부에 형성되어야 할 패턴에 대응하는 패터닝된 단면을 입사하는 방사빔에 부여하도록 사용될 수 있는 수단을 의미하는 것으로서 폭넓게 해석되어야 하며, 본 명세서에서는 "광 밸브(light valve)"라는 용어로도 사용될 수 있다. 일반적으로, 상기 패턴은 집적회로 또는 기타 디바이스와 같이 타겟부에 형성될 디바이스 내의 특정기능층에 해당할 것이다(이하 참조). 그러한 패터닝수단의 예로는 다음과 같은 것들이 포함된다.
- 마스크. 이 마스크의 개념은 리소그래피분야에서 이미 잘 알려져 있고, 바이너리(binary)형, 교번위상-시프트(alternating phase-shift)형 및 감쇠위상-시프트형과 같은 마스크형식과 다양한 하이브리드 마스크형식을 포함한다. 방사빔내에 이러한 마스크가 놓이면, 마스크의 패턴에 따라 마스크로 입사되는 방사선의 선택적인 투과(투과형 마스크의 경우) 또는 반사(반사형 마스크의 경우)가 이루어진다. 마스크의 경우에는, 일반적으로 지지구조체는 마스크테이블이 되고, 상기 마스크테이블은 입사되는 투영빔내의 어떤 위치에 마스크가 고정될 수 있게 하며, 필요한 경우에는 마스크를 상기 빔에 대하여 상대적으로 이동시킬 수 있도록 한다.
- 프로그래밍 가능한 거울배열. 이러한 장치의 예로는, 점탄성 제어층 (viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면이 있다. 이러한 장치의 기본원리는, (예를 들어)반사면의 어드레스된 영역(addressed area)에서는 입사광이 회절광으로 반사되는 반면, 어드레스되지 않은 영역에서는 입사광이 비회절광으로 반사되는 것이다. 적절한 필터를 사용하면, 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다. 이러한 방식으로, 상기 빔은 상기 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 프로그래밍 가능한 거울배열의 대안적인 실시예는 작은 거울의 매트릭스 배열을 채택하는 것인데, 상기 각각의 작은 거울은 국부화된 적절한 전기장을 가하거나 또는 압전작동수단(piezoelectric actuation means)을 채택하여 축선에 대하여 개별적으로 기울어질 수 있다. 또한, 상기 거울은 매트릭스-어드레서블이고, 이러한 어드레싱된 거울은 입사하는 방사빔을 어드레싱되지 않은 거울에 대하여 다른 방향으로 반사할 것이다. 이러한 방식으로, 반사된 빔은 매트릭스-어드레서블 거울의 어드레싱 패턴에 따라 패터닝된다. 이때 요구되는 매트릭스 어드레싱은 적당한 전자수단을 사용하여 수행될 수 있다. 상술된 두가지 상황 모두에 있어서, 패터닝수단은 1이상의 프로그래밍가능한 거울배열로 이루어질 수 있다. 이러한 거울배열에 관한 보다 상세한 정보는, 예를 들어 본 명세서에서 참조자료로 채용되고 있는 미국특허 US 5,296,891호 및 US 5,523,193호와 PCT특허출원 WO 98/38597호 및 WO 98/33096호로부터 얻을 수 있다. 프로그래밍 가능한 거울배열의 경우에, 상기 지지구조체는 필요에 따라 고정되거나 또는 이동할 수 있는, 예를 들어, 프레임 또는 테이블로 구현될 수 있다.
- 프로그래밍 가능한 LCD 배열. 이러한 구조의 일례는 본 명세서에서 참조자료로 채용되고 있는 미국특허 US 5,229,872호에 개시되어 있다. 상술된 바와 같이, 이러한 경우에서의 지지구조체는 필요에 따라 고정되거나 또는 이동할 수 있는, 예를 들어, 프레임 또는 테이블로 구현될 수 있다.
설명을 간단히 하기 위하여, 본 명세서의 나머지 부분 중 어느 곳에서는 그 자체가 마스크와 마스크테이블을 포함하는 예시적인 용어로서 특정적으로 지칭될 수도 있다. 하지만, 그러한 예시에서 논의된 일반적인 원리는 상술한 바와 같은 패터닝수단의 광의의 개념으로 이해되어야 한다.
예를 들어, 리소그래피투영장치는 집적회로(IC)의 제조에 사용될 수 있다. 이 경우에, 패터닝수단은 IC의 각각의 층에 대응되는 회로패턴을 형성할 수 있으며, 이 패턴은 방사선 감응재(포트레지스트)층으로 도포된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 1이상의 다이로 구성되는)상으로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 인접해 있는 타겟부들의 전체적인 네트워크를 포함하고, 이들 타겟부는 투영시스템에 의하여 한번에 하나씩 연속적으로 조사된다. 현재 통용되는 장치에서, 마스크테이블상의 마스크에 의한 패터닝을 채택하는 데에는, 두 가지 상이한 형식의 기계로 구분될 수 있다. 어느 한 형식의 리소그래피투영장치에서는 타겟부상으로 전체 마스크 패턴을 한번에 노광함으로써 각 타겟부가 조사되는데, 이러한 장치를 통상적으로 웨이퍼 스테퍼(wafer stepper) 또는 스텝-앤드-리핏장치라고 한다. 통상, 스텝-앤드-스캔 장치(step-and-scan apparatus)라고 불리워지는 대체장치에서는 소정의 기준방향("스캐닝방향")으로 투영빔 하의 마스크 패턴을 점진적으로 스캐닝하는 한편, 상기 스캐닝방향과 평행으로 또는 반평행(anti-parallel)으로 기판테이블을 동기적으로 스캐닝함으로써 각 타겟부가 조사된다. 일반적으로, 투영시스템은 배율인자 M(일반적으로 < 1)을 가지므로 기판테이블이 스캐닝되는 속도 V는 마스크테이블이 스캐닝되는 속도의 인자 M배가 된다. 본 명세서에 참조자료로 채택되고, 여기서 서술된 리소그래피장치에 관한 보다 상세한 정보는, 예를 들어 미국특허 US 6,046,792호에서 얻을 수 있다.
리소그래피투영장치를 사용하는 제조공정에서, (예를 들어, 마스크의) 패턴은 방사선 감응재(레지스트)층에 의하여 적어도 부분적으로 도포되는 기판상으로 묘화된다. 이 묘화단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크와 같은 여러가지 과정을 거칠 수 있다. 노광 후에는, 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처(imaged feature)의 측정/검사와 같은 또 다른 과정을 거치게 된다. 이러한 일련의 과정은, 예를 들어 IC와 같은 디바이스의 각각의 층을 패터닝하는 기초로서 사용된다. 이렇게 패터닝된 층은 에칭, 이온 주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은, 각각의 층을 마무리하기 위한 여러 공정을 거친다. 여러 개의 층이 요구된다면, 새로운 층마다 전체공정 또는 그것의 변형된 공정이 반복되어져야만 할 것이다. 그 결과로, 기판(웨이퍼)상에는 집적회로 디바이스의 배열이 존재하게 될 것이다. 이들 집적회로 디바이스는 다이싱 또는 소잉 등의 기술에 의하여 서로 분리되고, 이들 각각의 디바이스는 캐리어에 장착되고 핀 등에 접속될 수 있다. 본 명세서에서 참조자료로 채택되고 있는 이와 같은 공정에 관한 추가정보는 예를 들어, "Microchip Fabrication: A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
설명을 간단히 하기 위하여, 상기 투영시스템은 이후에 "렌즈"라고 언급 될 것이다; 하지만 이 용어는 예를 들어, 굴절광학기, 반사광학기, 카타디옵트릭 (catadioptric) 시스템을 포함하는 다양한 형태의 투영시스템을 포괄하는 것으로서 폭 넓게 해석되어야 한다. 또한 상기 방사선시스템은 방사투영빔의 지향, 성형 또는 제어하는 이들 설계형식 중의 어느 하나에 따라 동작하는 성분을 포함할 수 있고, 이후에 설명에서는 이러한 성분들을 집합적으로 또는 개별적으로 "렌즈"라고 언급할 것이다. 나아가, 상기 리소그래피장치는 2이상의 기판테이블 (및/또는 2이상의 마스크테이블)을 구비하는 형태가 될 수도 있다. 이러한 "다수 스테이지" 장치에서, 추가테이블이 병행으로 사용될 수 있으며, 1이상의 스테이지가 노광에 사용되고 있는 동안, 1이상의 다른 스테이지에서는 준비작업단계가 수행될 수 있다. 본 명세서에서 참조자료로 채택되는 듀얼 스테이지 리소그래피장치는, 예를 들어, 미국특허 US 5,969,441호 및 국제특허출원 WO 98/40791호에 개시되어 있다.
제1실시예
도 1은 본 발명의 특정한 실시예에 따른 리소그래피 투영장치(1)를 개략적으 로 도시한다. 상기 장치는,
ㆍ방사선(예를 들어, 13.5nm의 방사선)의 투영빔(PB)을 공급하는 방사선시스템(LA)(예를 들어, 크세논 소스와 같은 방사선소스를 포함), 빔 익스팬더(Ex) 및 조명시스템(IL);
ㆍ마스크(MA)(예를 들어, 레티클)를 잡아주는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확히 포지셔닝하는 제1포지셔닝수단(PM)에 연결된 제1대물테이블(마스크테이블)(MT);
ㆍ기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 잡아주는 기판 홀더가 마련된, 아이템 PL에 대하여 기판을 정확히 포지셔닝하는 제2포지셔닝수단(PW)에 연결된 제2대물테이블(기판테이블)(WT); 및
ㆍ기판(W)의 타겟부(C)(예를 들어, 1이상의 다이를 포함)에 마스크(MA)의 조사된 부분을 묘화하는 투영시스템("렌즈")(PL)(예를 들어, 굴절, 카타디옵트릭 또는 반사 광학기기)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 (반사마스크를 구비한)반사형이다. 하지만, 일반적으로는, 예를 들어 (투과마스크를 구비한)투과형일 수도 있다. 대안적으로, 상기 장치는 위에서 언급한 바와 같은 형태의 프로그램가능한 거울배열과 같은 그 밖의 다른 종류의 패터닝수단을 채용할 수도 있다.
상기 소스(LA)가 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔익스팬더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내반경 크기(통상 각각 외측-σ 및 내측-σ라고 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 구성요소들을 포함한다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 소정의 균일성과 세기분포를 갖게 된다.
도 1과 관련하여, 상기 방사선소스(LA)는 리소그패피투영장치의 하우징내에 놓이지만(예를 들어, 방사선소스(LA)가 흔히 수은 램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향거울에 의해) 장치 내부로 들어오게 할 수도 있다. 후자의 시나리오는 방사선소스(LA)가 레이저인 때에 흔한 경우이다. 본 발명과 청구범위는 이들 시나리오를 모두 포괄하고 있다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀있는 마스크(MA)를 거친다. 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)의 초점을 맞춘다. 제2포지셔닝수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1포지셔닝수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 1에 명확히 도시되지는 않았지만, 긴 행정모듈(long stroke module)(개략적인 포지셔닝) 및 짧은 행정모듈(미세 포지셔닝)의 도 움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔장치와는 대조적으로) 웨이퍼스테퍼의 경우에는 마스크테이블(MT)이 단지 짧은 행정액츄에어터에만 연결될 수도 있고 고정될 수도 있다. 마스크(MA) 및 기판(W)은 마스크정렬마크(M1, M2) 및 기판정렬마크(P1, P2)를 이용하여 조정될 수 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
1. 스텝모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 시프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
2. 스캔 모드에서는, 소정 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V=Mv로, 동일방향 또는 그 반대방향으로 동시에 이동하는데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 해상도를 떨어뜨리지 않고 비교적 넓은 타겟부(C)가 노광될 수 있다.
본 실시예에서, 포토레지스트 층 위의 EUV투과성 최상부 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 지르코늄, 니오븀 및 몰리브덴 중 1이상을 포함할 수 있다. 또한, 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리히드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴 리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상의 폴리머들을 더 포함할 수 있다. 예를 들어, 최상부 코팅은 EUV방사선의 투과율이 50%이상이 되도록 최종 두께를 가진다. 이것은 50%미만의 DUV 및 UV방사선에 대한 투과율을 갖는 최상부 코팅을 만들 수 있다.
도 1의 웨이퍼(W)의 기판은 예를 들어, (쉬플레이(Shipley)로부터의)EUV 2D 레지스트와 같은 포토레지스트(예를 들어, 300mm웨이퍼, 12인치)를 웨이퍼 표면상에 포함한다. 이러한 포토레지스트 층은 종래 분야에 잘 알려진 기술인 스핀 코팅에 의하여 제공되며, 상기 층은 100nm 정도의 두께를 가지지만, 대략 80 내지 150nm 정도의 다른 두께를 가질 수도 있다. 포토레지스트의 최상부에, 대략 50nm의 두께를 갖는 EUV투과층이 존재한다. 예를 들어, 도 2를 참조하면, W가 기판이고, PRL은 포토레지스트 층이며, TC는 EUV 투과성 최상부 코팅이다. 스핀 코팅을 이용하여 또한 이러한 층을 제공할 수 있다. 본 실시예에서, 최상부 코팅은 실릴화 폴리하이드록시스티렌류와 용매로서 프로필렌글리콜 모노메틸에테르아세테이트의 조합을 스핀 코팅하여 제공된다.
다음과 같은 과정 :
- 기판의 표면을 세정 및 건조하여 준비하는 단계;
- 기판의 표면상에 포토레지스트 층을 스핀 코팅하여 기판의 표면상에 포토레지스트 층을 코팅하는 단계;
- 소프트베이크 동안에 기판을 가열하는 단계(가열에 의하여 포토레지스트 층의 부분적인 증발이 일어난다);
- '칠링(chilling)' 동안에 기판을 냉각하는 단계;
- 포토레지스트 층의 표면상에 EUV 투과성 최상부 코팅을 스핀 코팅하는 단계가 수행된다. 이들 공정을 적용한 후에, 연이어 가열 및 냉각 과정이 수행된다.
최상부 코팅은 실질적으로 EUV방사선에 대하여 투과성을 갖지만, 실시예에서는, UV 또는 DUV방사선에 대하여는 실질적으로 비투과성(non-transmissive)을 가진다.
제1실시예의 리소그래피장치는 후술되는 여타의 실시예에도 사용될 수 있다.
제2실시예
본 실시예는, Novolac계 최상부 코팅이 사용되는 것을 제외하고는 상술된 대부분의 특징을 포함한다. 통상적으로 입수할 수 있는 수성계(water-based) 최상부 코팅에 있어서, 실릴화 폴리하이드록시스티렌을 가지고 있는 Novolac계 최상부 코팅은 실질적으로 DUV방사선을 흡수하고 개선된 EUV/DUV 선택성을 가진다. 최상부 코팅은 20 내지 100nm 예를 들어, 30 내지 80nm의 최종 두께를 가질 수 있다. 도 2는 포토레지스트 층(PRL) 및 상기 층의 최상부상에 EUV 투과성 최상부 코팅(TC)을 구비한 기판(W)을 개략적으로 도시한다.
제3실시예
본 실시예는 기판(W)상에 포토레지스트를 도포한 후에 소프트-베이크 및 냉각공정을 적용하는 대신에, 포토레지스트 층의 코팅 직후에, 포토레지스트 층상에 EUV 투과성 최상부 코팅이 적용되는 것을 제외하고는 제1실시예 또는 제2실시예의 상술된 대부분의 특징을 포함한다. 그 후에, 소프트-베이크 및 냉각 공정이 수행 된다.
제4실시예
기판(W)상에 포토레지스트를 도포한 후에, 기판에 소프트-베이크 및 냉각이 적용된다. 연이어, CVD를 통하여, 폴리머 및 실릴화 폴리머의 CVD코팅에 의하여 최상부 코팅으로서 실리콘 함유 성분 층이 제공된다. 최상부 코팅은 실질적으로 EUV방사선에 대하여 투과성을 갖지만, UV 또는 DUV방사선에 대하여는 실질적으로 비-투과성을 가진다.
(nm의)파장에 대한 Si코팅의 투과성은 10nm 층에 대하여 도 3에 시뮬레이션되어 있다. 이 도면은 EUV방사선에 대하여 실질적으로 투과성을 갖지만, 불필요한 UV 또는 DUV방사선에 대해서는 실질적으로 비-투과성 또는 약간의 투과성만을 갖는 코팅을 나타낸다. Si, C, H 함유 폴리머들의 파장 대 투과성의 경향이 Si 함유 폴리머의 경향과 상당히 유사하므로, 이 도면은 일반적으로, 예를 들어, Si 그룹들을 가지고 있는 폴리머들 또는 Si성분을 가지고 있는 폴리머 층들과 같이 Si, C, H 함유 최상부 코팅들이 (예를 들어, CVD에 의하여)최상부 코팅으로 적용될 수 있음을 나타낸다.
제5실시예
기판(W)상에 포토레지스트 층을 적용한 후에, 기판에 소프트-베이크 및 냉각단계가 적용된다. 연이어, CVD에 의하여, 예를 들어, 폴리머 및 붕소 CVD를 적용하여, 최상부 코팅(B, C, H계 최상부 코팅)으로서 붕소 함유 성분 층이 제공된다.
제6실시예
제1실시예 내지 제5실시예 중의 한 실시예에 따른 최상부 코팅을 도포한 후에, 레지스트가 EUV방사선에 의하여 노광된다. 연이어, 노광후 베이크가 수행된 다음, 최상부 코팅 및 레지스트가 현상단계 동안에 제거된다.
제7실시예
제1실시예 내지 제5실시예 중의 한 실시예에 따른 최상부 코팅을 도포한 후에, 레지스트가 EUV방사선에 의하여 노광된다. 연이어, 노광후 베이크가 수행된 다음, 최상부 코팅이 플라즈마 에칭 공정에 의하여 벗겨진다. 그 후, 현상 동안에 레지스트가 제거된다.
제8실시예
제1실시예 내지 제5실시예 중의 한 실시예에 따른 최상부 코팅을 도포한 후에, 레지스트가 EUV방사선에 의하여 노광된다. 연이어, 노광후 베이크가 수행된 다음, 최상부 코팅이 애싱된다(ashed). 그 후, 현상 동안에 레지스트가 제거된다.
제9실시예
본 발명에 따른 최상부 코팅이 도포된다. 최상부 코팅은 EUV방사선에 대하여 투과성을 갖고 DUV방사선을 흡수한다. 노광 및 처리 동안에, 전하 소산 또는 전도층(charge dissipating or conducting layer)으로서 EUV최상부 코팅이 사용되기 때문에, 포토레지스트가 충전(charge)되지 않거나 또는 종래의 최상부 코팅에 비해 덜 충전된다.
제10실시예
본 실시예는 실릴화 Novolac이 사용되는 것을 제외하고는 제1실시예 또는 제 2실시예에 상술된 대부분의 특징을 포함한다. 통상적으로 입수할 수 있는 수성계 최상부 코팅에 있어서, 실릴화 Novolac계 최상부 코팅은 실질적으로 DUV방사선을 흡수하고 개선된 EUV/DUV선택성을 가진다. 최상부 코팅은 20 내지 100nm 예를 들어, 30 내지 80nm의 최종 두께를 가질 수 있다.
본 발명의 특정 실시예가 상술되었지만, 본 발명은 상술된 것과 다르게 실시될 수 있음을 이해할 것이다. 상기 실시예들 및 도면들의 설명은 본 발명을 제한하지 않는다. 예를 들어, EUV 투과성 코팅을 만드는 여타의 코팅기술들이 사용될 수도 있다. EUV코팅은 또한, EUV방사선을 투과시키는 적절한 코팅을 만드는 여타의 원자를 포함할 수도 있다. 또한, 본 발명은 제1실시예에 개시된 리소그래피장치에 한정되지 않는다. 당업자들은, 본 발명이 본 명세서에 개시된 실시예들의 조합을 또한 포함할 수 있음을 이해할 것이다.
본 발명에 따르면, EUV에 대하여 투과성을 갖고 오염으로부터 보호하는, EUV리소그래피를 위한 포토레지스트 층상에 비-수성계(non-aqueous based) 최상부 코팅을 제공할 수 있다.

Claims (23)

  1. EUV리소그래피를 위한 기판을 코팅하는 방법에 있어서,
    상기 기판상에 포토레지스트 층을 코팅하는 단계를 포함하고,
    상기 포토레지스트 층상에 EUV 투과성 최상부 코팅을 제공하며,
    상기 EUV 투과성 최상부 코팅은, 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상으로 이루어진 그룹을 포함하고,
    상기 최상부 코팅은 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 것을 특징으로 하는 방법.
  2. 리소그래피 투영장치를 이용하는 디바이스 제조방법에 있어서,
    - 기판상에 포토레지스트 층을 코팅하여, 부분적 또는 전체적으로 상기 포토레지스트 층으로 덮힌 상기 기판을 제공하는 단계;
    - 방사선시스템을 이용하여 방사선의 투영빔을 제공하는 단계;
    - 패터닝수단을 이용하여 상기 투영빔의 단면에 패턴을 부여하는 단계; 및
    - 상기 포토레지스트 층의 타겟부상으로 상기 방사선의 패터닝된 빔을 투영시키는 단계를 포함하고,
    상기 포토레지스트 층상에 EUV 투과성 최상부 코팅을 제공하는 단계를 더 포함하며,
    상기 EUV 투과성 최상부 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹을 포함하고,
    상기 최상부 코팅은 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 것을 특징으로 하는 방법.
  3. 삭제
  4. 제1항 또는 제2항에 있어서,
    상기 최상부 코팅은 상기 EUV방사선의 투과율이 50%이상이 되는 최종 두께를 갖는 것을 특징으로 하는 방법.
  5. 제1항 또는 제2항에 있어서,
    상기 최상부 코팅은 상기 DUV 또는 UV방사선에 대하여 50%미만의 투과율을 갖는 것을 특징으로 하는 방법.
  6. 포토레지스트 층상의 최상부 코팅으로 사용되는 코팅에 있어서,
    상기 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹을 포함하는 폴리머를 포함하고, 상기 코팅은 a) 상기 포토레지스트로부터의 오염물의 가스방출을 감소시키고, b) 상기 포토레지스트의 오염을 방지하는 것 중 적어도 하나를 가능하게 하며,
    상기 최상부 코팅은 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 것을 특징으로 하는 코팅.
  7. 제6항에 있어서,
    상기 오염물은 물, 탄화수소 및 F, Si, P, S 및 Cl로 이루어진 그룹으로부터 선택된 1이상의 원자들을 포함하는 화합물들로 이루어진 그룹으로부터 선택된 화합물인 것을 특징으로 하는 코팅.
  8. 삭제
  9. 제6항 또는 제7항에 있어서,
    상기 코팅은 EUV 투과성을 가지는 것을 특징으로 하는 코팅.
  10. 제9항에 있어서,
    상기 코팅은 상기 EUV방사선의 투과율이 50%이상이 되는 두께를 가지는 것을 특징으로 하는 코팅.
  11. 제9항에 있어서,
    상기 코팅은 DUV 및 UV 방사선에 대하여 50%미만의 투과율을 가지는 것을 특징으로 하는 코팅.
  12. 포토레지스트 층을 구비한 기판에 있어서,
    상기 포토레지스트 층상에 EUV투과성 최상부 코팅을 포함하고,
    상기 EUV 투과성 최상부 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹을 포함하는 폴리머를 포함하며,
    상기 최상부 코팅은 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 것을 특징으로 하는 기판.
  13. 제12항에 있어서,
    상기 포토레지스트 층은 EUV 포토레지스트를 포함하는 것을 특징으로 하는 기판.
  14. 리소그래피 투영장치에 있어서,
    - 방사선의 투영빔을 공급하는 방사선 시스템;
    - 소정의 패턴에 따라 상기 투영빔을 패터닝시키는 역할을 하는 패터닝 수단을 지지하는 지지구조체;
    - 기판을 잡아주는 기판테이블;
    - 상기 기판의 타겟부상으로 상기 패터닝된 빔을 투영시키는 투영시스템; 및
    - 부분적 또는 전체적으로 포토레지스트 층으로 덮힌 EUV리소그래피용 기판을 포함하고,
    상기 EUV 투과성 최상부 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹으로 이루어진 폴리머를 포함하며,
    상기 최상부 코팅은 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 것을 특징으로 하는 리소그래피 투영장치.
  15. 전하 소산 및 전도 층(charge dissipating or conducting layer)으로서의 포토레지스트 층상의 EUV 투과성 최상부 코팅에 있어서,
    상기 최상부 코팅은 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 것을 특징으로 하는 최상부 코팅.
  16. 제1항 또는 제2항에 있어서,
    상기 최상부 코팅은 실리콘 또는 붕소를 포함하는 것을 특징으로 하는 방법.
  17. 제1항 또는 제2항에 있어서,
    상기 최상부 코팅은 20nm 내지 100nm의 최종 두께를 가지는 것을 특징으로 하는 방법.
  18. 제1항 또는 제2항에 있어서,
    상기 최상부 코팅은 30nm 내지 80nm의 최종 두께를 가지는 것을 특징으로 하는 방법.
  19. 제1항 또는 제2항에 있어서,
    상기 포토레지스트 층상의 EUV 투과성 최상부 코팅은 스핀 코팅 또는 화학적기상증착(CVD)에 의하여 제공되는 것을 특징으로 하는 방법.
  20. 포토레지스트 층상의 EUV투과성 최상부 코팅에 있어서,
    상기 EUV 투과성 최상부 코팅은 다음의 원자들 : 베릴륨, 붕소, 탄소, 실리콘, 지르코늄, 니오븀 및 몰리브덴 중의 1이상을 구비한 그룹으로 이루어진 폴리머를 포함하며,
    상기 최상부 코팅은 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 것을 특징으로 하는 최상부 코팅.
  21. 오염물 배리어로서 포토레지스트 층상의 최상부 코팅에 있어서,
    상기 최상부 코팅은 다음의 폴리머들 : 폴리실란류, 폴리실릴렌류, 폴리실록산류, 실릴화 폴리하이드록시스티렌, 실란 함유 폴리머들, 실세스퀴옥산 폴리머들, 아크릴 실란 폴리머들, 메타크릴 실란 폴리머들 및 실릴화 폴리머들 중의 1이상을 포함하는 것을 특징으로 하는 최상부 코팅.
  22. 제21항에 있어서,
    상기 오염물은 물, 탄화수소 및 F, Si, P, S 및 Cl로 이루어진 그룹으로부터 선택된 1이상의 원자들을 포함하는 화합물들로 이루어진 그룹으로부터 선택된 화합물인 것을 특징으로 하는 최상부 코팅.
  23. 삭제
KR1020040036001A 2003-05-21 2004-05-20 Euv리소그래피를 위한 기판을 코팅하는 방법 및포토레지스트 층을 구비한 기판 KR100713190B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP03076531.7 2003-05-21
EP03076531 2003-05-21

Publications (2)

Publication Number Publication Date
KR20040101025A KR20040101025A (ko) 2004-12-02
KR100713190B1 true KR100713190B1 (ko) 2007-05-02

Family

ID=33522345

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040036001A KR100713190B1 (ko) 2003-05-21 2004-05-20 Euv리소그래피를 위한 기판을 코팅하는 방법 및포토레지스트 층을 구비한 기판

Country Status (6)

Country Link
US (1) US20050008864A1 (ko)
JP (1) JP4036849B2 (ko)
KR (1) KR100713190B1 (ko)
CN (1) CN1573541A (ko)
SG (1) SG115693A1 (ko)
TW (1) TWI265381B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101742815B1 (ko) * 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG129259A1 (en) * 2002-10-03 2007-02-26 Asml Netherlands Bv Radiation source lithographic apparatus, and device manufacturing method
JP4718893B2 (ja) * 2005-05-13 2011-07-06 株式会社東芝 パターン形成方法
US20070166640A1 (en) * 2006-01-19 2007-07-19 Yayi Wei Defect reduction in immersion lithography
US20070231751A1 (en) * 2006-03-31 2007-10-04 Bristol Robert L Photoresist top coat out-of-band illumination filter for photolithography
JP4716027B2 (ja) * 2006-08-11 2011-07-06 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
KR101333032B1 (ko) * 2007-06-12 2013-11-26 코닌클리케 필립스 엔.브이. 감소된 반사율을 향상시키기 위하여 euv 광 컴포넌트를 인시추 처리하는 광학 장치 및 방법
JP2009111186A (ja) * 2007-10-30 2009-05-21 Toshiba Corp 基板処理方法、基板搬送方法および基板搬送装置
JP2010182732A (ja) * 2009-02-03 2010-08-19 Toshiba Corp 半導体装置の製造方法
JP5618557B2 (ja) * 2010-01-29 2014-11-05 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物、及び該組成物を用いたパターン形成方法
KR101915138B1 (ko) 2010-10-21 2018-11-06 닛산 가가쿠 가부시키가이샤 Euv 리소그래피용 레지스트 상층막 형성 조성물
US9081280B2 (en) 2011-02-24 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist having improved extreme-ultraviolet lithography imaging performance
KR101793316B1 (ko) * 2011-03-16 2017-11-02 케이엘에이-텐코 코포레이션 박막 스펙트럼 순도 필터 코팅을 갖는 영상 센서를 사용하는 euv 화학선 레티클 검사 시스템
JP6236000B2 (ja) * 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
KR101964072B1 (ko) 2011-07-20 2019-04-01 닛산 가가쿠 가부시키가이샤 티탄 및 실리콘 함유 리소그래피용 박막 형성 조성물
JPWO2013051442A1 (ja) 2011-10-06 2015-03-30 日産化学工業株式会社 リソグラフィー用レジスト上層膜形成組成物
JP5856991B2 (ja) * 2012-05-21 2016-02-10 富士フイルム株式会社 化学増幅型レジスト組成物、ネガ型化学増幅型レジスト組成物、それを用いたレジスト膜、レジスト塗布マスクブランクス、フォトマスクの製造方法及びパターン形成方法、並びに、電子デバイスの製造方法
JP2015172606A (ja) * 2012-07-25 2015-10-01 日産化学工業株式会社 リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US9494864B2 (en) 2012-09-07 2016-11-15 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition for lithography and method for manufacturing semiconductor device using the same
JP5768789B2 (ja) * 2012-09-21 2015-08-26 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5768788B2 (ja) * 2012-09-21 2015-08-26 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US20140158914A1 (en) * 2012-12-11 2014-06-12 Sandia Corporation Optical component with blocking surface and method thereof
JP5563051B2 (ja) 2012-12-13 2014-07-30 Azエレクトロニックマテリアルズマニュファクチャリング株式会社 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
US9746768B2 (en) 2013-01-24 2017-08-29 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition for lithography and method for producing semiconductor device using the same
JP6007199B2 (ja) * 2013-01-31 2016-10-12 富士フイルム株式会社 パターン形成方法、及び、これを用いた電子デバイスの製造方法
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
JP6157160B2 (ja) 2013-03-15 2017-07-05 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
EP3054351B1 (en) * 2013-09-26 2019-01-30 National Institute for Materials Science Highly sensitive multilayer resist film and method for improving photosensitivity of resist film
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
JP6758575B2 (ja) 2014-02-24 2020-09-23 東京エレクトロン株式会社 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
US9977331B2 (en) 2014-02-26 2018-05-22 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition and method for producing semiconductor device including the same
KR102061488B1 (ko) 2014-05-21 2020-01-03 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. 상층막 형성용 조성물 및 이를 사용한 레지스트 패턴 형성 방법
KR102432661B1 (ko) 2015-07-07 2022-08-17 삼성전자주식회사 극자외선용 포토레지스트 조성물 및 이를 이용하는 포토레지스트 패턴의 형성 방법
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
TWI662360B (zh) 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
KR101850010B1 (ko) 2016-12-07 2018-04-19 한국생산기술연구원 카보레인 복합체를 포함하는 고에칭 콘트라스트 물질을 이용한 나노패턴 제조방법
JP6933605B2 (ja) * 2018-05-21 2021-09-08 信越化学工業株式会社 パターン形成方法
JP6875325B2 (ja) * 2018-05-21 2021-05-19 信越化学工業株式会社 パターン形成方法
US20200105522A1 (en) * 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US11092893B2 (en) 2018-12-10 2021-08-17 Kla Corporation Inspection sensitivity improvements for optical and electron beam inspection
EP3722457A1 (en) * 2019-04-12 2020-10-14 ASML Netherlands B.V. Method and apparatus for forming a patterned layer of material
KR102639026B1 (ko) * 2019-04-12 2024-02-21 에이에스엠엘 네델란즈 비.브이. 패턴화된 재료의 층을 형성하기 위한 방법 및 장치
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
WO2023243586A1 (ja) * 2022-06-14 2023-12-21 セントラル硝子株式会社 電子デバイス製造方法および積層体
WO2023243585A1 (ja) * 2022-06-14 2023-12-21 セントラル硝子株式会社 レジスト上層膜形成用樹脂組成物、パターン形成方法および電子デバイス製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05240812A (ja) * 1992-02-28 1993-09-21 Uchu Kankyo Riyou Kenkyusho:Kk 熱伝導率測定方法
JP2001166489A (ja) * 1999-12-02 2001-06-22 Hyundai Electronics Ind Co Ltd フォトレジストオーバーコーティング用組成物、及びこれを利用したフォトレジストパターン形成方法
KR20020090689A (ko) * 2001-05-29 2002-12-05 주식회사 하이닉스반도체 반도체소자의 감광막패턴 형성방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240812A (en) * 1990-09-18 1993-08-31 International Business Machines Corporation Top coat for acid catalyzed resists
KR970010687B1 (ko) * 1993-11-05 1997-06-30 엘지반도체 주식회사 쌍방형 전하결합소자
US6007963A (en) * 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
KR100419029B1 (ko) * 1999-08-31 2004-02-19 주식회사 하이닉스반도체 알칼리 처리 과정을 포함하는 포토레지스트 패턴 형성방법
KR100586913B1 (ko) * 2000-08-25 2006-06-07 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 디바이스 제조 방법 및 이것에 의해제조된 디바이스
TWI240151B (en) * 2000-10-10 2005-09-21 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
US6686132B2 (en) * 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
DE10134231B4 (de) * 2001-07-13 2006-06-14 Infineon Technologies Ag EUV-Reflektionsmaske

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05240812A (ja) * 1992-02-28 1993-09-21 Uchu Kankyo Riyou Kenkyusho:Kk 熱伝導率測定方法
JP2001166489A (ja) * 1999-12-02 2001-06-22 Hyundai Electronics Ind Co Ltd フォトレジストオーバーコーティング用組成物、及びこれを利用したフォトレジストパターン形成方法
KR20020090689A (ko) * 2001-05-29 2002-12-05 주식회사 하이닉스반도체 반도체소자의 감광막패턴 형성방법

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
05240812
1020020090689
13166489

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101742815B1 (ko) * 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
KR20040101025A (ko) 2004-12-02
CN1573541A (zh) 2005-02-02
JP2004348133A (ja) 2004-12-09
SG115693A1 (en) 2005-10-28
TWI265381B (en) 2006-11-01
JP4036849B2 (ja) 2008-01-23
TW200510955A (en) 2005-03-16
US20050008864A1 (en) 2005-01-13

Similar Documents

Publication Publication Date Title
KR100713190B1 (ko) Euv리소그래피를 위한 기판을 코팅하는 방법 및포토레지스트 층을 구비한 기판
JP4234567B2 (ja) リソグラフィ装置及びデバイス製造方法
US7193681B2 (en) Lithographic apparatus and device manufacturing method
TWI442694B (zh) 微影裝置及元件製造方法
US20050157283A1 (en) Optical element with a self-assembled monolayer, lithographic projection apparatus including such an optical element, and device manufacturing method
KR100748446B1 (ko) 가스 플러싱 시스템을 포함하는 리소그래피장치
EP1480078A1 (en) Method for coating a substrate for EUV lithography and substrate with photoresist layer
KR100733128B1 (ko) 리소그래피장치 및 디바이스 제조방법
US7081945B2 (en) Device manufacturing method, device manufactured thereby and lithographic apparatus therefor
KR100554873B1 (ko) 리소그래피장치, 디바이스 제조방법, 그것에 의하여제조된 디바이스, 및 컴퓨터 프로그램
US6707530B2 (en) Lithographic apparatus, device manufacturing method, and device manufactured thereby
TWI232356B (en) Lithographic projection apparatus, device manufacturing method and device manufactured thereby
JP5033175B2 (ja) リソグラフィ装置及びパターニングデバイス
KR100563103B1 (ko) 광학요소를 제조하는 방법, 리소그래피장치 및 디바이스제조방법
US7088421B2 (en) Lithographic apparatus, device manufacturing method, and device manufactured thereby
US20050002003A1 (en) Lithographic apparatus and device manufacturing method
EP1385051A1 (en) EUV lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer and device manufacturing method
EP1248148A1 (en) Lithographic patterning means with protective layer
EP1396759A2 (en) Lithographic apparatus, device manufacturing method, and device manufactured thereby

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee