JP2875093B2 - 半導体装置 - Google Patents

半導体装置

Info

Publication number
JP2875093B2
JP2875093B2 JP4060696A JP6069692A JP2875093B2 JP 2875093 B2 JP2875093 B2 JP 2875093B2 JP 4060696 A JP4060696 A JP 4060696A JP 6069692 A JP6069692 A JP 6069692A JP 2875093 B2 JP2875093 B2 JP 2875093B2
Authority
JP
Japan
Prior art keywords
oxide film
film
insulating layer
layer
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP4060696A
Other languages
English (en)
Other versions
JPH05267298A (ja
Inventor
功 鳥取
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP4060696A priority Critical patent/JP2875093B2/ja
Priority to US08/019,252 priority patent/US5479054A/en
Priority to GB9303864A priority patent/GB2265254B/en
Priority to KR1019930003005A priority patent/KR960010062B1/ko
Priority to DE4307546A priority patent/DE4307546C2/de
Publication of JPH05267298A publication Critical patent/JPH05267298A/ja
Priority to US08/518,019 priority patent/US5930674A/en
Priority to HK58997A priority patent/HK58997A/xx
Application granted granted Critical
Publication of JP2875093B2 publication Critical patent/JP2875093B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置に関し、特に
絶縁層の表面が平坦性に富む半導体装置に関するもので
ある。
【0002】
【従来の技術】まず、従来の半導体装置の構成について
説明する。
【0003】図15は、従来の半導体装置の概略構成を
示す断面図である。図15を参照して、シリコンからな
る半導体基板110の表面には、膜厚300〜800n
m程度の分離酸化膜111が形成されている。この分離
酸化膜111によって分離された領域には、MOSFE
Tなどの素子が形成されている。このMOSFETは、
ソース・ドレインをなす不純物拡散層114a,114
b,ゲート酸化膜112およびゲートをなす多結晶シリ
コン膜101から構成されている。ソース・ドレインを
なす不純物拡散層114a,114bは、半導体基板1
10の表面に形成されている。また、この不純物拡散層
114a,114bは、濃度の薄い部分114aと濃度
の濃い部分114bから構成されている。ソース・ドレ
インを構成する不純物拡散層114a,114bをまた
ぐように、半導体基板110の表面上にはゲート酸化膜
112が形成されている。このゲート酸化膜112の表
面上には、ゲートをなす多結晶シリコン膜101が形成
されている。この多結晶シリコン膜101の表面上に
は、シリコン酸化膜113が形成されている。この多結
晶シリコン膜101とシリコン酸化膜113の側壁に
は、サイドウォール115aが形成されている。このM
OSFETが形成された半導体基板110の表面全面
に、薄いシリコン酸化膜または窒化膜102が形成され
ている。この薄いシリコン酸化膜または窒化膜102に
は、接続孔118が形成されている。この接続孔118
からは、不純物拡散層114bまたは多結晶シリコン膜
101の一部表面が露出している。この不純物拡散層1
14bと多結晶シリコン膜101の露出部に接触するよ
うに薄いシリコン酸化膜または窒化膜102の表面上に
は多結晶シリコン膜104aが形成されている。この多
結晶シリコン膜104aの表面上には、高融点金属薄膜
104bが形成されている。多結晶シリコン膜104a
と高融点金属薄膜104bから配線層が形成されてい
る。この配線層が形成された薄いシリコン酸化膜または
窒化膜102の表面全面には、配線層を被覆するように
不純物を含む層間絶縁層103が形成されている。この
層間絶縁層103は平坦化処理が施されている。また、
層間絶縁層103には接続孔119が形成されている。
この接続孔119からは多結晶シリコン膜104aに接
続されていない不純物拡散領域114bの一部表面が露
出している。この不純物拡散層114bの露出部に接触
するようアルミニウムと銅の合金からなる配線層106
が絶縁層103の表面上に形成されている。
【0004】上記のように従来の半導体装置は構成され
ている。次に、従来の半導体装置の製造方法について説
明する。
【0005】図16〜図28は、従来の半導体装置の製
造方法を概略的に示す断面図である。まず図16を参照
して、シリコンからなる半導体基板110の表面に局所
酸化法によって膜厚300〜800nm程度の分離酸化
膜111が形成される。
【0006】図17を参照して、半導体基板110の分
離酸化膜111から露出した部分には、熱酸化法によっ
て膜厚5〜30nmのゲート酸化膜112が形成され
る。次に、半導体基板110の表面上にリンや砒素を含
んだ多結晶シリコン膜101およびシリコン酸化膜11
3が気相成長法によって堆積される。これらのゲート酸
化膜112,多結晶シリコン膜101およびシリコン酸
化膜113がフォトリソグラフィ法、RIE法によって
パターニングされる。
【0007】図18を参照して、多結晶シリコン膜10
1,シリコン酸化膜113および分離酸化膜111をマ
スクとして不純物イオンが半導体基板110に注入され
る。このイオン注入により、半導体基板110の露出し
ている表面に不純物拡散層114aが形成される。
【0008】図19を参照して、半導体基板110の表
面上には、気相成長法によって50〜300nmのシリ
コン酸化膜115が形成される。
【0009】図20を参照して、このシリコン酸化膜1
15は、RIE法でエッチングされる。このエッチング
により、サイドウォール115aが多結晶シリコン膜1
01とシリコン酸化膜113の側壁に形成される。半導
体基板110にサイドウォール115a,シリコン酸化
膜113および分離酸化膜111をマスクとして不純物
イオンが注入される。このイオン注入により、不純物拡
散層114bが形成される。この不純物拡散層114b
と114aにより、不純物濃度の濃い部分と薄い部分の
2層構造が形成される。また、この不純物拡散層の形成
によって、不純物拡散層114a,114b,ゲート酸
化膜112および多結晶シリコン膜101からなるMO
Sトランジスタが形成される。
【0010】図21を参照して、半導体基板110の表
面上に形成されたMOSトランジスタなどの素子を覆う
ように薄いシリコン酸化膜または窒化膜102が堆積さ
れる。
【0011】図22を参照して、この薄いシリコン酸化
膜または窒化膜102に、フォトリソグラフィ法、RI
E法によって接続孔118が形成される。この接続孔1
18からは不純物拡散層114bまたは多結晶シリコン
膜101の一部表面が露出する。なお、多結晶シリコン
膜101を露出させる際には、シリコン酸化膜113も
エッチングされる。
【0012】図23を参照して、薄いシリコン酸化膜ま
たは窒化膜102の表面全面には、多結晶シリコン膜1
04aおよび高融点金属薄膜104bが気相成長法によ
って堆積される。この多結晶シリコン膜104aは、接
続孔118を介して不純物拡散層114bまたは多結晶
シリコン膜101に電気的に接続される。
【0013】図24を参照して、多結晶シリコン膜10
4aと高融点金属薄膜104bがフォトリソグラフィ
法、RIE法によってパターニングされる。このパター
ニングによって、多結晶シリコン膜104aと高融点金
属薄膜104bからなる配線層が形成される。
【0014】図25を参照して、多結晶シリコン膜10
4aと高融点金属薄膜104bからなる配線層を覆うよ
うに半導体基板110の表面上には不純物を含む酸化膜
103が堆積される。
【0015】図26を参照して、不純物を含む酸化膜1
03は800℃〜1000℃の温度で平坦化のための熱
処理が施される。熱処理の後、不純物を含む酸化膜10
3は全面のエッチングにより薄膜化される。この不純物
を含む酸化膜103aの表面上に薄い酸化膜または窒化
膜が堆積される。これにより、層間絶縁層103が得ら
れる。
【0016】図27を参照して、層間絶縁層103に
は、接続孔119がフォトリソグラフィ法、RIE法に
よって形成される。この接続孔119は、多結晶シリコ
ン膜104aが電気的に接続されていない不純物拡散層
114bに達するように形成される。
【0017】図28を参照して、層間絶縁層103の表
面上には、アルミニウム銅合金膜がスパッタリング法に
よって堆積される。このアルミニウム銅合金膜をフォト
リソグラフィ法、RIE法によってパターニングするこ
とにより、配線層106が形成される。この配線層10
6は、接続孔119を介して不純物拡散層114bと電
気的に接続される。
【0018】上記のように従来の半導体装置は製造され
る。
【0019】
【発明が解決しようとする課題】従来の半導体装置は以
上のように構成されているため、層間絶縁層103の表
面が平坦性に乏しかった。層間絶縁層103の表面が平
坦性に乏しいと、以下の弊害が生じる。
【0020】まず、図29はレジストを露光させる状態
を模式的に示す断面図である。図29を参照して、下層
201の表面上にはレジスト202が塗布されている。
このレジスト202は、マスク203を用いて所望の形
状にパターニングされる。この際、露光光はマスク20
3によって露光されるべき領域202aにのみ矢印A方
向から入射される。しかしながら、下層201に段差が
存在すると、露光光は段差によって矢印B方向へ反射さ
れる。この矢印B方向への反射により、本来露光されな
い領域202bが露光させられる。すなわち、下層20
1に段差が存在すると所望の形状にレジスト202を露
光させることは難しい。このため、レジスト202を所
望の形状に正確にパターニングすることは困難である。
【0021】また図30は、レジストを露光する際の最
適焦点位置を模式的に示す断面図である。図30を参照
して、下層201の表面上にレジスト202が塗布され
ている。この下層201の表面上に段差があると、レジ
スト202の厚みが部分によって異なる。厚みが異なる
と、レジスト202を露光する露光光の最適焦点位置が
異なる。すなわち、C,Dの位置における露光光の最適
焦点位置はそれぞれc,dとなる。このため、Cの位置
に焦点を合わせて露光を行なう場合は図31に示すよう
に、Dの位置のパターンが形状不良となる。また、Dの
位置に焦点を合わせて露光を行なう場合は、図32に示
すようにCの位置のパターンが形状不良となる。よっ
て、レジスト202を所望の形状に正確にパターニング
することは難しい。
【0022】上記のように下層201に段差があると、
レジスト202を所望の形状に正確にパターニングでき
ない。このような形状不良のレジストをマスクとしてエ
ッチングを施した場合、仕上り寸法の狂いが生じる。仕
上り寸法の狂いが生じるため、微細化に対応できず、微
細化を図る場合、接続孔や金属配線層のパターンの形成
などが困難になるという問題点があった。
【0023】さらに、図28に示す層間絶縁層の表面が
平坦性に乏しいと、接続孔をプラグで生め込む際に以下
の弊害が生じる。
【0024】図33,図34は、接続孔をプラグで埋め
込むことによって弊害が生じた状態を模式的に示す断面
図およびその平面図である。これらの図を参照して、接
続孔201にプラグ202が形成されている。このプラ
グ202により、上層の導電層203と下層の導電層2
04が電気的に接続されている。このプラグ202は、
絶縁層205の表面全面に堆積された導電層をエッチン
グすることにより形成される。しかしながら、絶縁層2
05の表面が平坦性に乏しいと絶縁層205の表面段差
部にプラグ形成時の残渣202aが残留する。この残渣
202aによって、他の配線層206aと206bが短
絡されるおそれがある。このように、図28に示される
層間絶縁層103の表面が平坦性に乏しいと、その表面
段差部に残渣が生じ配線層のショートなど電気的信頼性
に劣るという問題点があった。
【0025】本発明は、上記のような問題点を解決する
ためになされたもので、絶縁層の表面段差を小さくする
ことにより、微細化に伴う接続孔や金属配線層のパター
ニングの形成などを容易にし、かつ電気的信頼性の高い
半導体装置を提供することを目的とする。
【0026】
【課題を解決するための手段】請求項1に記載の半導体
装置は、第1、第2および第3の導電層と、第1、第
2、第3および第4の酸化膜または窒化膜と、第1およ
び第2の絶縁層とを備えている。第1の導電層は、半導
体基板の表面上に形成されている。第1の酸化膜または
窒化膜は、半導体基板上に形成され、第1の導電層を覆
うように形成されている。第1の絶縁層は、第1の酸化
膜または窒化膜上に形成された第1のガラス転移温度を
有している。第2の酸化膜または窒化膜は、第1の絶縁
層上に形成されている。第2の導電層は、第2の酸化膜
または窒化膜の表面上に形成されている。第3の酸化膜
または窒化膜は、第2の酸化膜または窒化膜上に形成さ
れ、第2の導電層を覆うように形成されている。第2の
絶縁層は、第3の酸化膜または窒化膜上に形成され、第
1のガラス転移温度より低い第2のガラス転移温度を有
している。第4の酸化膜または窒化膜は、第2の絶縁層
上に形成されている。第3の導電層は、第4の酸化膜ま
たは窒化膜の表面上に形成されている。第1、第2およ
び第3の導電層の各々は、第1および第2の絶縁層に直
接接していない。
【0027】請求項2に記載の半導体装置は、第1、第
2および第3の導電層と、第1、第2、第3および第4
の酸化膜または窒化膜と、第1および第2の絶縁層とを
備えている。第1の導電層は、半導体基板の表面上に形
成されている。第1の酸化膜または窒化膜は、半導体基
板上に形成され、第1の導電層を覆うように形成されて
いる。第1の絶縁層は、第1の酸化膜または窒化膜上に
形成され、かつ第1の材料からなっている。第2の酸化
膜または窒化膜は、第1の絶縁層上に形成されている。
第2の導電層は、第2の酸化膜または窒化膜の表面上に
形成されている。第3の酸化膜または窒化膜は、第2の
酸化膜または窒化膜上に形成され、第2の導電層を覆う
ように形成されている。第2の絶縁層は、第3の酸化膜
または窒化膜上に形成され、第1の材料と異なる第2の
材料からなっている。第4の酸化膜または窒化膜は、第
2の絶縁層上に形成されている。第3の導電層は、第4
の酸化膜または窒化膜の表面上に形成されている。
1、第2および第3の導電層の各々は、第1および第2
の絶縁層に直接接していない。
【0028】
【0029】
【作用】請求項1に記載の半導体装置は、第1のガラス
転移温度を有する第1の絶縁層と第2のガラス転移温度
を有する第2の絶縁層とを有している。このため、この
第1と第2の絶縁層を個別に平坦化処理を施すことが可
能である。個別に平坦化処理を施すことができるため、
第2の絶縁層の表面段差は相乗的に緩和できる。すなわ
ち、第1の絶縁層を平坦化した後に第2の絶縁層を形成
するため、第2の絶縁層の表面に生じる段差は比較的小
さくなる。さらに、この第2の絶縁層を平坦化するた
め、第2の絶縁層の表面段差はさらに小さくできる。こ
のように、第2の絶縁層の表面が比較的平坦性に富むた
め、この絶縁層の上層においてレジストを所望の形状に
パターニングすることは比較的容易である。また、第2
の絶縁層の表面が比較的平坦性に富むためプラグの形成
時において表面段差部に残渣が生じがたくなる。このた
め、残渣が他の導電層同士をショートすることはなく、
電気的信頼性は向上する。
【0030】さらに、第1の絶縁層は第1のガラス転移
温度を有し、かつ第2の絶縁層は第1のガラス転移温度
より低い第2のガラス転移温度を有している。このた
め、第1の絶縁層の上層にある第2の絶縁層に平坦化処
理を施しても、第1の絶縁層が流動することはない。よ
って、第1の絶縁層の表面上に形成された第2の導電層
が第1の絶縁層の流動とともに移動することはない。す
なわち、第2の導電層の位置ずれは生じない。したがっ
て、位置がずれることによって、第2の導電層が他の導
電層と電気的に接続されることはなく、電気的信頼性が
向上する。また、各導電層が第1および第2の絶縁層に
直接接しないように第1〜第4の酸化膜または窒化膜が
形成されている。このため、各導電層へ第1および第2
の絶縁層中の不純物が拡散することが防止されるととも
に、導電層の腐食が防止される。
【0031】請求項2に記載の半導体装置は、互いに異
なる材料よりなる第1および第2の絶縁層を有してい
る。このため、この第1および第2の絶縁層に個別に平
坦化処理を施すことが可能である。個別に平坦化処理を
施すことができるため、第2の絶縁層の表面段差は相乗
的に緩和できる。すなわち、第1の絶縁層を平坦化した
後に第2の絶縁層を形成するため、第2の絶縁層の表面
に生じる段差は比較的小さくなる。さらに、この第2の
絶縁層を平坦化するため、第2の絶縁層の表面段差はさ
らに小さくできる。このように、第2の絶縁層の表面が
比較的平坦性に富むため、この絶縁層の上層においてレ
ジストを所望の形状にパターニングすることは比較的容
易である。また、第2の絶縁層の表面が比較的平坦性に
富むためプラグの形成時において表面段差部に残渣が生
じ難くなる。このため、残渣が他の導電層同士をショー
トすることはなく、電気的信頼性が向上する。さらに、
第1および第2の絶縁層は互いに異なる材料よりなって
いるため、第1の絶縁層の上層にある第2の絶縁層に平
坦化処理を施しても、第1の絶縁層が流動することは防
止できる。よって、第1の絶縁層の表面上に形成された
第2の導電層が第1の絶縁層の流動とともに移動するこ
とはない。すなわち、第2の導電層の位置ずれは生じな
い。したがって、位置がずれることによって、第2の導
電層が他の導電層と電気的に接続されることはなく、電
気的信頼性が向上する。また、各導電層が第1および第
2の絶縁層に直接接しないように第1〜第4の酸化膜ま
たは窒化膜が形成されている。このため、各導電層へ第
1および第2の絶縁層中の不純物が拡散することが防止
されるとともに、導電層の腐食が防止される。
【0032】
【0033】
【0034】
【実施例】図1は本発明の一実施例による半導体装置の
概略構成を示す断面図である。図1を参照して、シリコ
ンからなる半導体基板10の表面には、膜厚300〜8
00nm程度の分離酸化膜11が形成されている。この
分離酸化膜11によって分離された半導体基板10の各
領域には、MOSFETなどの素子が形成されている。
このMOSFETは、ソース・ドレインをなす不純物拡
散層14a,14b,ゲート酸化膜12およびゲートを
なす多結晶シリコン膜1から構成されている。ソース・
ドレインをなす不純物拡散層14a,14bは、半導体
基板10の表面に形成されている。この不純物拡散層1
4a,14bのソース・ドレインをまたぐようにゲート
酸化膜12が半導体基板10の表面上に形成されてい
る。このゲート酸化膜12の表面上にゲートをなす多結
晶シリコン膜1が形成されている。この多結晶シリコン
膜1から第1の導電層が形成されている。この多結晶シ
リコン膜1の表面上には、シリコン酸化膜13が形成さ
れている。ゲート酸化膜12,多結晶シリコン膜1およ
びシリコン酸化膜13の側壁には、サイドウォール15
aが形成されている。このMOSFETが形成された半
導体基板10の表面上には、各素子を被覆するように薄
いシリコン酸化膜または窒化膜2が形成されている。こ
の薄いシリコン酸化膜または窒化膜2の表面上には、平
坦化された第1の不純物濃度を有する酸化膜3が形成さ
れている。これが第1の絶縁膜となる。この酸化膜3は
第1の不純物濃度を有するため、第1のガラス転移温度
を有している。ガラス転移温度とは、絶縁層が流動しは
じめる温度である。薄いシリコン酸化膜または窒化膜2
と酸化膜3には、接続孔18が形成されている。この接
続孔18は、不純物拡散層14bまたは多結晶シリコン
膜1の一部表面を露出している。なお、多結晶シリコン
膜1の一部表面を露出させる接続孔を形成するために、
シリコン酸化膜13もエッチングされている。この接続
孔18には、多結晶シリコン膜からなるプラグ7が埋め
込まれている。このプラグ7は、不純物拡散層14bま
たは多結晶シリコン膜1と電気的に接続されている。不
純物を含む酸化膜3の表面上には、プラグ7と接するよ
うに多結晶シリコン膜4aが形成されている。この多結
晶シリコン膜4aの表面上には、高融点金属シリサイド
4bが形成されている。これら多結晶シリコン膜4aと
高融点金属シリサイド4bから第2の導電層が形成され
ている。この第2の導電層は、プラグ7を介して不純物
拡散層14bまたは多結晶シリコン膜1と電気的に接続
されている。この多結晶シリコン膜4aと高融点金属シ
リサイド4bからなる第2の導電層を被覆するように、
酸化膜3の表面上には、第2の不純物濃度を有する酸化
膜5が形成されている。この酸化膜5が有する第2の不
純物濃度は、酸化膜3が有する第1の不純物濃度よりも
高い。この酸化膜5が第2の絶縁層となる。この酸化膜
5は第2の不純物濃度を有するため、第2のガラス転移
温度を有している。酸化膜5の表面全面には、第2の薄
いシリコン酸化膜または窒化膜9が形成されている。第
1の薄いシリコン酸化膜または窒化膜2,酸化膜3,酸
化膜5および第2の薄いシリコン酸化膜または窒化膜9
には、接続孔19が形成されている。この接続孔19か
らは、プラグ7が電気的に接続されていない不純物拡散
層14bの一部表面を露出している。この接続孔19に
は、タングステンからなるプラグ8が埋め込まれてい
る。このプラグ8は、不純物拡散層14bと電気的に接
続されている。第2の薄いシリコン酸化膜または窒化膜
9の表面上にはプラグ8に接するようにアルミニウム銅
合金からなる第3の導電層である配線層6が形成されて
いる。この配線層6が第3の導電層を構成している。
【0035】上記のように本発明の一実施例による半導
体装置は構成されている。次に、本発明の一実施例によ
る半導体装置の製造方法について説明する。
【0036】図2〜図6は、本発明の一実施例による半
導体装置の製造方法を概略的に示す断面図である。
【0037】図2を参照して、不純物拡散層14a,1
4b,ゲート酸化膜12および多結晶シリコン膜1から
構成されるMOSトランジスタを形成するまでの工程は
従来例と同様であるためその説明を省略する。
【0038】図3を参照して、MOSトランジスタなど
の素子を被覆するように、気相成長法によって第1の薄
いシリコン酸化膜または窒化膜2が堆積される。この第
1の薄いシリコン酸化膜または窒化膜2の表面全面に
は、第1の不純物濃度を有する酸化膜3が堆積される。
この酸化膜3は熱処理により表面が平坦化される。この
後、酸化膜3の全面がエッチングされる。このエッチン
グにより、酸化膜3は薄膜化される。
【0039】図4を参照して、表面の平坦度が良好な酸
化膜3にフォトリソグラフィ法、RIE法によって接続
孔18が形成される。酸化膜3の表面全面には、気相成
長法により多結晶シリコン膜が堆積される。この多結晶
シリコン膜がRIE法によってエッチングされる。この
エッチングにより、接続孔18を埋め込むように多結晶
シリコンプラグ7が形成される。この多結晶シリコンプ
ラグ7は、多結晶シリコン膜1と電気的に接続されてい
る。また、酸化膜3の表面全面には、多結晶シリコン膜
4aと高融点金属薄膜4bが堆積される。この多結晶シ
リコン膜4aと高融点金属薄膜4bは、フォトリソグラ
フィ法、RIE法によってパターニングされる。このパ
ターニングにより、多結晶シリコン膜4aと高融点金属
シリサイド4bの複合膜層からなる第2の導電層が多結
晶シリコンプラグ7に接するように形成される。この第
2の導電層は、多結晶シリコンプラグ7を介して不純物
拡散層14bまたは多結晶シリコン膜1と電気的に接続
される。
【0040】図5を参照して、第2の導電層を被覆する
ように第1の不純物濃度を有する酸化膜3の表面上に第
1の不純物濃度よりも高い第2の不純物濃度を有する酸
化膜5が堆積される。この酸化膜5は、酸化膜3の平坦
化のための熱処理温度よりも低い熱処理温度で平坦化が
施される。この平坦化処理が施された後、酸化膜5は全
面がエッチングされる。このエッチングにより酸化膜5
は薄膜化される。この平坦化された酸化膜5の表面全面
に、第2の薄いシリコン酸化膜または窒化膜9が堆積さ
れる。なお、第2の不純物濃度を有する酸化膜5の平坦
化のための熱処理温度は、第1の不純物濃度を有する酸
化膜3が軟化しない程度に低温化する必要がある。すな
わち、酸化膜5のガラス転移温度を酸化膜3のガラス転
移温度より低くする必要がある。
【0041】図6を参照して、第1の薄いシリコン酸化
膜または窒化膜2,酸化膜3,酸化膜5および第2の薄
いシリコン酸化膜または窒化膜9には、フォトリソグラ
フィ法、RIE法によって接続孔19が形成される。こ
の接続孔19からは、多結晶シリコンプラグ7が電気的
に接続されていない不純物拡散層14bの一部表面が露
出される。この接続孔19内に不純物が注入される。注
入した不純物を活性化するために熱処理が施される。こ
の熱処理温度は、酸化膜5の軟化温度よりも低温で行な
われる。この後、第2の薄いシリコン酸化膜または窒化
膜9の表面上には、フッ化タングステン(WF6 )など
を原料とするタングステン薄膜が気相成長法により堆積
される。このタングステン薄膜は、RIE法によってエ
ッチングされる。このエッチングにより、タングステン
プラグ8が接続孔19を埋め込むように形成される。ま
た、第2の薄いシリコン酸化膜または窒化膜9の表面上
には、アルミニウム銅合金膜がスパッタリング法によっ
て堆積される。このアルミニウム銅合金膜は、フォトリ
ソグラフィ法、RIE法によってパターニングされる。
このパターニングによって、第2の薄いシリコン酸化膜
または窒化膜9の表面上にタングステンプラグ8と接す
るようにアルミニウム銅合金からなる第3の導電層6が
形成される。この第3の導電層6は、タングステンプラ
グ8を介して不純物拡散層14bと電気的に接続されて
いる。
【0042】上記のように本発明の一実施例による半導
体装置は製造される。次に、図1に示す第1、第2の薄
いシリコン酸化膜または窒化膜2,9の役割について説
明する。
【0043】図1を参照して、まず第1の薄いシリコン
酸化膜または窒化膜2は、酸化膜3の不純物が平坦化処
理時の熱処理によって下層の多結晶シリコン膜1および
不純物拡散層14a,14bに拡散するのを阻止してい
る。また、酸化膜3の平坦化のための熱処理は拡散炉を
用いて水蒸気雰囲気などの酸化性雰囲気で行なわれる場
合がある。この場合に、第1の薄いシリコン酸化膜また
は窒化膜2は多結晶シリコン膜1および不純物拡散層1
4a,14bが酸化することを防止する。
【0044】次に、第2の薄いシリコン酸化膜または窒
化膜9は、酸化膜5の不純物がその上に位置する第3の
導電層6へ拡散することを防止している。また一般に、
湿気のある雰囲気では、酸化膜5に含まれる不純物であ
るボロン酸化物などが表面層で析出し、酸を形成する。
第2の薄いシリコン酸化膜または窒化膜9は、この酸に
よる配線の腐食を防止し、配線の信頼性の低下を阻止し
ている。さらに、第2の薄いシリコン酸化膜または窒化
膜9は、第3の導電層6のパターニングをする際のレジ
ストの密着性を向上させる効果を有している。
【0045】なお、シリコン酸化膜、シリコン窒化膜、
導電層やプラグの形成方法は、上記実施例で説明した方
法以外のものでも構わない。またプラグの材質として本
実施例では多結晶シリコンやタングステンの例を挙げて
説明したが、アルミニウムなどでもよい。酸化膜3また
は酸化膜5の層間絶縁層に含む不純物は、リン、ボロ
ン、砒素などのうちの少なくとも1つの不純物であれば
よい。また、この不純物は、層間絶縁層のガラス転移温
度を下げるものであれば好ましい。また、酸化膜3と酸
化膜5の堆積膜厚は、厚いほど次工程での平坦度が良好
になるため、ここでは段差相当分とする。また、酸化膜
3と酸化膜5のエッチングによる薄膜化は、HFによる
方法、RIE法、または化学的機械的研磨法(Journal
of Electrochemical Society. 138 巻 P.1778 )による
シリコン酸化膜の研磨などでもよい。さらに、上記実施
例においては、第1、第2、第3の3層の導電層を有す
るものを示したが、3層以上の導電層を持つ半導体装置
についても本発明は適用可能である。
【0046】次に、図1に示す酸化膜3の第1の不純物
濃度と酸化膜5の第2の不純物濃度が同じであることに
よる弊害について説明する。
【0047】図7は、第1と第2の不純物濃度が同じこ
とによる弊害が生じた様子を概略的に示す拡大断面図で
ある。図7を参照して、第2の不純物濃度を有する酸化
膜5は、表面段差の平坦化のために熱処理が施される。
この熱処理によって、第2の不純物濃度を有する酸化膜
5は軟化し、流動的な状態となる。しかしながら、酸化
膜3の不純物濃度が酸化膜5の不純物濃度と同じであっ
た場合、酸化膜5の平坦化のための熱処理によって酸化
膜3も流動的な状態となる。この流動によって酸化膜3
が変形する。酸化膜3の表面上に形成される第2の導電
層4a,4bが酸化膜3の変形とともに移動し、位置ず
れが生じる。この第2の導電層4a,4bの位置ずれに
よって、隣接する導電領域8などと接するおそれがあ
る。このように、第2の導電層4a,4bが隣接する導
電領域8などに接した場合、半導体装置に電気的不良が
生じるという弊害がある。
【0048】次に、本発明の他の実施例による半導体装
置の構成について説明する。図8は、本発明の他の実施
例による半導体装置の概略構成を示す断面図である。図
8を参照して、第1の不純物濃度を有する酸化膜3の表
面全面には、第3の薄いシリコン酸化膜または窒化膜2
0が形成されている。この第3の薄いシリコン酸化膜ま
たは窒化膜20の表面上に第2の導電層4a,4bが不
純物拡散層14bまたは多結晶シリコン膜1に電気的に
接続されるように形成されている。その他の構成につい
ては図1に示す本発明の一実施例による半導体装置と同
様の構成であるのでその説明は省略する。ここで、第3
の薄いシリコン酸化膜または窒化膜20は、酸化膜3を
固定する役割をなす。また、第3の薄いシリコン酸化膜
または窒化膜20は酸化膜5の平坦化のための熱処理に
よって生ずる第2の導電層4a,4bの位置ずれを抑制
する役割をなす。第3の薄いシリコン酸化膜または窒化
膜20はさらに、第1の不純物濃度を有する酸化膜3の
不純物が第2の導電層4a,4bに拡散することを防止
し、また不純物の吸湿による第2の導電層4a,4bの
腐食を防止し、第2の導電層4a,4bのパターン形成
時のレジストの密着性の向上の役割もなす。
【0049】次に、本発明のさらに他の実施例による半
導体装置の構成について説明する。図9は、本発明のさ
らに他の実施例による半導体装置の概略構成を示す断面
図である。図9を参照して、第1の不純物濃度を有する
酸化膜3の表面全面には、第3の薄いシリコン酸化膜ま
たは窒化膜20が形成されている。この第3の薄いシリ
コン酸化膜または窒化膜20の表面上には、第2の導電
層4a,4bがプラグ7を介して不純物拡散層14bま
たは多結晶シリコン膜1と電気的に接続されている。こ
の第2の導電層4a,4bを被覆するように、第3の薄
いシリコン酸化膜または窒化膜20の表面上には、第4
の薄いシリコン酸化膜または窒化膜21が形成されてい
る。この第4の薄いシリコン酸化膜または窒化膜21の
表面上には、第2の不純物濃度を有する酸化膜5が形成
されている。この他の構成については、図1に示す本発
明の一実施例の半導体装置と同様の構成であるのでその
説明は省略する。ここで、第4の薄いシリコン酸化膜ま
たは窒化膜21は、第2の導電層4a,4bを固定する
役割をなす。また、第4の薄いシリコン酸化膜または窒
化膜21は、酸化膜5の平坦化のための熱処理による第
2の導電層4a,4bの位置ずれを抑制する役割をな
す。さらに、第4の薄いシリコン酸化膜または窒化膜2
1は、酸化膜5の不純物が下層の第2の導電層4a,4
bに拡散することを防止し、さらには、酸化膜5の平坦
化のための熱処理を拡散炉を用い水蒸気雰囲気などの酸
化性雰囲気の下で行なう際に生じる第2の導電層4a,
4bの酸化を阻止する役割をなす。
【0050】本発明においては、第1と第2の絶縁層の
平坦化処理を個別に行なうため、第1と第2の絶縁層の
不純物濃度を変えている。すなわち、第1と第2の絶縁
層の不純物濃度を変えることにより、第2の絶縁層が第
1の絶縁層に比較して低いガラス転移温度(軟化点)と
なるように設定されている。この不純物濃度により、ガ
ラス転移温度が変わることについては K. Nassau et a
l., J. Electrochem. Soc.: SOLID STATE SCIENCE AND
TECHNOLOGY, February 1985 P.409などに、図10
(a),(b)に示す関係が提示されている。図10
(a),(b)の横軸は不純物濃度、縦軸はTg:ガラ
ス転移温度である。本発明では、図10に示す不純物濃
度を採用することができる。また、本発明では図10に
示す不純物濃度に限られず、不純物濃度を変えることに
よりガラス転移温度を変えることができ、かつ良好に平
坦化処理を施せるものであれば採用することができる。
【0051】なお、本発明においては、第1と第2の絶
縁層のガラス転移温度を変えるために、不純物濃度を変
えたが、以下の方法(1)によって第1と第2の絶縁層
のガラス転移温度を変えてもよい。また、以下の方法
(2),(3)によって平坦化度の程度を変えてもよ
い。
【0052】(1) 第1と第2の絶縁層の材料または
含める不純物の種類を変える (2) 第1と第2の絶縁層の厚みを変える (3) 第1と第2の絶縁層の平坦化のための熱処理の
雰囲気をかえる などの方法があげられる。
【0053】(1)については、その半導体装置の構成
を図11に示す。図11を参照して、半導体基板60の
表面上に第1の導電層51が形成されている。この第1
の導電層51を被覆するように第1の絶縁層52が形成
されている。この第1の絶縁層52の表面上に第2の導
電層53が形成されている。この第2の導電層53を被
覆するように、第1の絶縁層とは材料または含める不純
物の種類が異なる第2の絶縁層54が形成されている。
この第2の絶縁層54の表面上には、第3の導電層55
が形成されている。この構成において、たとえば、第1
の絶縁層52がシリコン酸化層,第2の絶縁層がBPS
G層であってもよい。
【0054】同一温度でも材料などによって粘性が異な
ることは、 K. Nassau et al., J.Electrochem. Soc.:
SOLID STATE SCIENCE AND TECHNOLOGY, February 1985
P.409に図12に示す関係が提示されている。図12の
横軸は温度、縦軸は粘性である。本発明では、図12に
示す材料または不純物(SiO2 ,P2 3 等)の種類
を採用することができる。また、本発明では図12に示
す材料または不純物の種類に限られず、材料または不純
物の種類を変えることによりガラス転移温度を変化で
き、かつ良好に平坦化処理を施せるものであれば採用す
ることができる。
【0055】(2)については、絶縁層の厚みを変える
ことによって平坦化度の程度が異なることは、C. Y. F
u, IEDM 85, P.602に図13に示す関係が提示されてい
る。図13の横軸は厚み、縦軸は平坦化度の程度であ
る。また、用いられた試料はボロンガラスである。本発
明では、図13に示す絶縁層の厚みを採用することがで
きる。また、本発明では、図13に示す絶縁層の厚みに
限られず、第1と第2の絶縁層の厚みを変えることによ
り平坦化度の程度を変えることができ、かつ良好に平坦
化処理を施せる厚みであれば採用することができる。
【0056】(3)については、絶縁層を平坦化するた
めの熱処理の雰囲気を変えることによって平坦化度の程
度が異なることは、Jacques S. Mercier, Solid State
Technology /July 1987, P.85 に図14(a),(b)
に示す関係が提示されている。図14(a)の横軸は平
坦化処理時間、図14(b)の横軸は平坦化温度、図4
(a),(b)の縦軸は平坦化度の程度である。また、
用いられた試料はボロンとリンが添加されたBPSG膜
である。本発明では、図14(a),(b)に示す雰囲
気(水蒸気雰囲気,窒素雰囲気)を平坦化のための熱処
理に採用することができる。また、本発明では図14
(a),(b)に示す雰囲気に限られず、雰囲気を変え
ることにより第1と第2の絶縁層の平坦化度の程度を変
えることができ、かつ良好に平坦化処理を施せるもので
あれば採用することができる。
【0057】
【発明の効果】請求項1に記載の半導体装置は、第1の
ガラス転移温度を有する第1の絶縁層と第2のガラス転
移温度を有する第2の絶縁層とを有している。このた
め、この第1と第2の絶縁層を個別に平坦化処理を施す
ことが可能である。個別に平坦化処理を施すことができ
るため、第2の絶縁層の表面段差は相乗的に緩和でき
る。すなわち、第1の絶縁層を平坦化した後に第2の絶
縁層を形成するため、第2の絶縁層の表面に生じる段差
は比較的小さくなる。さらに、この第2の絶縁層を平坦
化するため、第2の絶縁層の表面段差はさらに小さくで
きる。このように、第2の絶縁層の表面が比較的平坦性
に富むため、この絶縁層の上層においてレジストを所望
の形状にパターニングすることは比較的容易である。ま
た、第2の絶縁層の表面が比較的平坦性に富むためプラ
グの形成時において表面段差部に残渣が生じがたくな
る。これにより、残渣が他の導電層同士をショートする
ことはなく、電気的信頼性は向上する。
【0058】さらに、第1の絶縁層は第1のガラス転移
温度を有し、かつ第2の絶縁層は第1のガラス転移温度
より低い第2のガラス転移温度を有している。このた
め、第1の絶縁層の上層にある第2の絶縁層に平坦化処
理を施しても、第1の絶縁層が流動することはない。よ
って、第1の絶縁層の表面上に形成された第2の導電層
が第1の絶縁層の流動とともに移動することはない。す
なわち、第2の導電層の位置ずれは生じない。したがっ
て、位置がずれることによって、第2の導電層が他の導
電層と電気的に接続されることが防止される。よって、
電気的信頼性が向上する。また、各導電層が第1および
第2の絶縁層に直接接しないように第1〜第4の酸化膜
または窒化膜が形成されている。このため、各導電層へ
第1および第2の絶縁層中の不純物が拡散することが防
止されるとともに、導電層の腐食が防止される。
【0059】請求項2に記載の半導体装置は、互いに異
なる材料よりなる第1および第2の絶縁層を有してい
る。このため、この第1および第2の絶縁層に個別に平
坦化処理を施すことが可能である。個別に平坦化処理を
施すことができるため、第2の絶縁層の表面段差は相乗
的に緩和できる。すなわち、第1の絶縁層を平坦化した
後に第2の絶縁層を形成するため、第2の絶縁層の表面
に生じる段差は比較的小さくなる。さらに、この第2の
絶縁層を平坦化するため、第2の絶縁層の表面段差はさ
らに小さくできる。このように、第2の絶縁層の表面が
比較的平坦性に富むため、この絶縁層の上層においてレ
ジストを所望の形状にパターニングすることは比較的容
易である。また、第2の絶縁層の表面が比較的平坦性に
富むためプラグの形成時において表面段差部に残渣が生
じ難くなる。このため、残渣が他の導電層同士をショー
トすることはなく、電気的信頼性が向上する。さらに、
第1および第2の絶縁層は互いに異なる材料よりなって
いるため、第1の絶縁層の上層にある第2の絶縁層に平
坦化処理を施しても、第1の絶縁層が流動することは防
止できる。よって、第1の絶縁層の表面上に形成された
第2の導電層が第1の絶縁層の流動とともに移動するこ
とはない。すなわち、第2の導電層の位置ずれは生じな
い。したがって、位置がずれることによって、第2の導
電層が他の導電層と電気的に接続されることはなく、電
気的信頼性が向上する。また、各導電層が第1および第
2の絶縁層に直接接しないように第1〜第4の酸化膜ま
たは窒化膜が形成されている。このため、各導電層へ第
1および第2の絶縁層中の不純物が拡散することが防止
されるとともに、導電層の腐食が防止される。
【0060】
【0061】
【図面の簡単な説明】
【図1】本発明の一実施例による半導体装置の概略構成
を示す断面図である。
【図2】本発明の一実施例による半導体装置の製造方法
の第1工程を概略的に示す断面図である。
【図3】本発明の一実施例による半導体装置の製造方法
の第2工程を概略的に示す断面図である。
【図4】本発明の一実施例による半導体装置の製造方法
の第3工程を概略的に示す断面図である。
【図5】本発明の一実施例による半導体装置の製造方法
の第4工程を概略的に示す断面図である。
【図6】本発明の一実施例による半導体装置の製造方法
の第5工程を概略的に示す断面図である。
【図7】第1と第2の不純物濃度が同じことによる弊害
が生じた状態を概略的に示す拡大断面図である。
【図8】本発明の他の実施例による半導体装置の概略構
成を示す断面図である。
【図9】本発明のさらに他の実施例による半導体装置の
概略構成を示す断面図である。
【図10】絶縁層に含まれる不純物濃度を変えたときの
ガラス転移温度の変化を示す図である。
【図11】第1の絶縁層と第2の絶縁層の材料が異なる
半導体装置の概略構成を示す断面図である。
【図12】材料における温度と粘性の関係を示す図であ
る。
【図13】不純物を含む絶縁層の厚みを変化させたとき
の平坦化度の程度を示す図である。
【図14】平坦化処理時の雰囲気を変えたときの平坦化
度の程度を示す図である。
【図15】従来の半導体装置の概略構成を示す断面図で
ある。
【図16】従来の半導体装置の製造方法の第1工程を概
略的に示す断面図である。
【図17】従来の半導体装置の製造方法の第2工程を概
略的に示す断面図である。
【図18】従来の半導体装置の製造方法の第3工程を概
略的に示す断面図である。
【図19】従来の半導体装置の製造方法の第4工程を概
略的に示す断面図である。
【図20】従来の半導体装置の製造方法の第5工程を概
略的に示す断面図である。
【図21】従来の半導体装置の製造方法の第6工程を概
略的に示す断面図である。
【図22】従来の半導体装置の製造方法の第7工程を概
略的に示す断面図である。
【図23】従来の半導体装置の製造方法の第8工程を概
略的に示す断面図である。
【図24】従来の半導体装置の製造方法の第9工程を概
略的に示す断面図である。
【図25】従来の半導体装置の製造方法の第10工程を
概略的に示す断面図である。
【図26】従来の半導体装置の製造方法の第11工程を
概略的に示す断面図である。
【図27】従来の半導体装置の製造方法の第12工程を
概略的に示す断面図である。
【図28】従来の半導体装置の製造方法の第13工程を
概略的に示す断面図である。
【図29】レジストを露光させる状態を模式的に示す断
面図である。
【図30】レジストを露光させる際の最適焦点位置を模
式的に示す断面図である。
【図31】レジストを露光させる際の焦点位置によって
弊害が生じた状態を模式的に示す断面図である。
【図32】レジストを露光させる際の焦点位置によって
弊害が生じた状態を模式的に示す断面図である。
【図33】接続孔をプラグで埋め込むことによって弊害
が生じた状態を模式的に示した断面図である。
【図34】接合孔をプラグで埋め込むことによって弊害
が生じた状態を模式的に示した平面図である。
【符号の説明】
1 多結晶シリコン膜 3 第1の不純物濃度を有する酸化膜 4a 多結晶シリコン膜 4b 高融点金属シリサイド 5 第2の不純物濃度を有する酸化膜 6 第3の導電層 10 半導体基板

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】 半導体基板の表面上に形成された第1の
    導電層と、 前記半導体基板上に形成され、前記第1の導電層を覆う
    ように形成された第1の酸化膜または窒化膜と、 前記第1の酸化膜または窒化膜上に形成された第1のガ
    ラス転移温度を有する第1の絶縁層と、 前記第1の絶縁層上に形成された第2の酸化膜または窒
    化膜と、 前記第2の酸化膜または窒化膜の表面上に形成された第
    2の導電層と、 前記第2の酸化膜または窒化膜上に形成され、前記第2
    の導電層を覆うように形成された第3の酸化膜または窒
    化膜と、 前記第3の酸化膜または窒化膜上に形成され、前記第1
    のガラス転移温度より低い第2のガラス転移温度を有す
    る第2の絶縁層と、 前記第2の絶縁層上に形成された第4の酸化膜または窒
    化膜と、 前記第4の酸化膜または窒化膜の表面上に形成された第
    3の導電層とを備え 前記第1、第2および第3の導電層の各々は前記第1お
    よび第2の絶縁層に直接接していない、 半導体装置。
  2. 【請求項2】 半導体基板の表面上に形成された第1の
    導電層と、 前記半導体基板上に形成され、前記第1の導電層を覆う
    ように形成された第1の酸化膜または窒化膜と、 前記第1の酸化膜または窒化膜上に形成され、かつ第1
    の材料からなる第1の絶縁層と、 前記第1の絶縁層上に形成された第2の酸化膜または窒
    化膜と、 前記第2の酸化膜または窒化膜の表面上に形成された第
    2の導電層と、 前記第2の酸化膜または窒化膜上に形成され、前記第2
    の導電層を覆うように形成された第3の酸化膜または窒
    化膜と、 前記第3の酸化膜または窒化膜上に形成され、前記第1
    の材料と異なる第2の材料からなる第2の絶縁層と、 前記第2の絶縁層上に形成された第4の酸化膜または窒
    化膜と、 前記第4の酸化膜または窒化膜の表面上に形成された第
    3の導電層とを備え 前記第1、第2および第3の導電層の各々は前記第1お
    よび第2の絶縁層に直接接していない、 半導体装置。
JP4060696A 1992-03-17 1992-03-17 半導体装置 Expired - Lifetime JP2875093B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP4060696A JP2875093B2 (ja) 1992-03-17 1992-03-17 半導体装置
US08/019,252 US5479054A (en) 1992-03-17 1993-02-18 Semiconductor device with improved planarization properties
GB9303864A GB2265254B (en) 1992-03-17 1993-02-25 A semiconductor chip and a method of manufacture thereof
KR1019930003005A KR960010062B1 (ko) 1992-03-17 1993-03-02 반도체장치 및 그 제조방법
DE4307546A DE4307546C2 (de) 1992-03-17 1993-03-10 Halbleitervorrichtung und Herstellungsverfahren dafür
US08/518,019 US5930674A (en) 1992-03-17 1995-08-22 Semiconductor device with improved planarization properties
HK58997A HK58997A (en) 1992-03-17 1997-05-08 A semiconductor chip and a method of manufacture thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4060696A JP2875093B2 (ja) 1992-03-17 1992-03-17 半導体装置

Publications (2)

Publication Number Publication Date
JPH05267298A JPH05267298A (ja) 1993-10-15
JP2875093B2 true JP2875093B2 (ja) 1999-03-24

Family

ID=13149722

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4060696A Expired - Lifetime JP2875093B2 (ja) 1992-03-17 1992-03-17 半導体装置

Country Status (6)

Country Link
US (2) US5479054A (ja)
JP (1) JP2875093B2 (ja)
KR (1) KR960010062B1 (ja)
DE (1) DE4307546C2 (ja)
GB (1) GB2265254B (ja)
HK (1) HK58997A (ja)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2875093B2 (ja) * 1992-03-17 1999-03-24 三菱電機株式会社 半導体装置
US5545926A (en) 1993-10-12 1996-08-13 Kabushiki Kaisha Toshiba Integrated mosfet device with low resistance peripheral diffusion region contacts and low PN-junction failure memory diffusion contacts
JP3015717B2 (ja) * 1994-09-14 2000-03-06 三洋電機株式会社 半導体装置の製造方法および半導体装置
US6744091B1 (en) * 1995-01-31 2004-06-01 Fujitsu Limited Semiconductor storage device with self-aligned opening and method for fabricating the same
US5751165A (en) * 1995-08-18 1998-05-12 Chip Express (Israel) Ltd. High speed customizable logic array device
US20010048147A1 (en) * 1995-09-14 2001-12-06 Hideki Mizuhara Semiconductor devices passivation film
US6268657B1 (en) * 1995-09-14 2001-07-31 Sanyo Electric Co., Ltd. Semiconductor devices and an insulating layer with an impurity
US5640038A (en) * 1995-11-22 1997-06-17 Vlsi Technology, Inc. Integrated circuit structure with self-planarized layers
JPH09172074A (ja) * 1995-12-19 1997-06-30 Toshiba Corp 半導体装置およびその製造方法
US6825132B1 (en) 1996-02-29 2004-11-30 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor device including an insulation film on a conductive layer
JP3941133B2 (ja) * 1996-07-18 2007-07-04 富士通株式会社 半導体装置およびその製造方法
KR100383498B1 (ko) 1996-08-30 2003-08-19 산요 덴키 가부시키가이샤 반도체 장치 제조방법
US6288438B1 (en) 1996-09-06 2001-09-11 Sanyo Electric Co., Ltd. Semiconductor device including insulation film and fabrication method thereof
US5861647A (en) * 1996-10-02 1999-01-19 National Semiconductor Corporation VLSI capacitors and high Q VLSI inductors using metal-filled via plugs
US5973385A (en) * 1996-10-24 1999-10-26 International Business Machines Corporation Method for suppressing pattern distortion associated with BPSG reflow and integrated circuit chip formed thereby
JP3015767B2 (ja) * 1996-12-25 2000-03-06 三洋電機株式会社 半導体装置の製造方法及び半導体装置
US6121129A (en) * 1997-01-15 2000-09-19 International Business Machines Corporation Method of contact structure formation
JPH10270555A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5913150A (en) * 1997-04-11 1999-06-15 Nec Corporation Method for manufacturing semiconductor device using spin on glass layer
US5970375A (en) * 1997-05-03 1999-10-19 Advanced Micro Devices, Inc. Semiconductor fabrication employing a local interconnect
US6690084B1 (en) 1997-09-26 2004-02-10 Sanyo Electric Co., Ltd. Semiconductor device including insulation film and fabrication method thereof
TW374946B (en) * 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
US6051881A (en) * 1997-12-05 2000-04-18 Advanced Micro Devices Forming local interconnects in integrated circuits
EP1042793A1 (de) * 1997-12-16 2000-10-11 Infineon Technologies AG Barriereschicht für kupfermetallisierung
US6051876A (en) * 1998-01-05 2000-04-18 Advanced Micro Devices, Inc. Semiconductor device with a graded passivation layer
US6727170B2 (en) * 1998-02-16 2004-04-27 Renesas Technology Corp. Semiconductor device having an improved interlayer conductor connections and a manufacturing method thereof
US6794283B2 (en) 1998-05-29 2004-09-21 Sanyo Electric Co., Ltd. Semiconductor device and fabrication method thereof
US5918120A (en) * 1998-07-24 1999-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating capacitor-over-bit line (COB) dynamic random access memory (DRAM) using tungsten landing plug contacts and Ti/TiN bit lines
JP2000311992A (ja) * 1999-04-26 2000-11-07 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US6917110B2 (en) * 2001-12-07 2005-07-12 Sanyo Electric Co., Ltd. Semiconductor device comprising an interconnect structure with a modified low dielectric insulation layer
US7335608B2 (en) * 2004-09-22 2008-02-26 Intel Corporation Materials, structures and methods for microelectronic packaging
KR100746628B1 (ko) * 2006-06-30 2007-08-08 주식회사 하이닉스반도체 반도체 소자의 층간 절연막 및 그 제조 방법
US7709956B2 (en) * 2008-09-15 2010-05-04 National Semiconductor Corporation Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
US8617986B2 (en) * 2009-11-09 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the integrated circuits
US10651362B2 (en) * 2017-09-26 2020-05-12 Microsoft Technology Licensing, Llc Method of forming superconducting apparatus including superconducting layers and traces

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5750449A (en) * 1980-09-11 1982-03-24 Nec Corp Semiconductor device and manufacture therefor
JPS583494A (ja) * 1981-06-30 1983-01-10 Toshiba Corp クロスポイント制御方式
JPS5834945A (ja) * 1981-08-26 1983-03-01 Nippon Telegr & Teleph Corp <Ntt> 多層配線構造体
JPS5848938A (ja) * 1981-09-18 1983-03-23 Nippon Texas Instr Kk 半導体装置の絶縁被膜の形成方法
US4582745A (en) * 1984-01-17 1986-04-15 Rca Corporation Dielectric layers in multilayer refractory metallization structure
US4631248A (en) * 1985-06-21 1986-12-23 Lsi Logic Corporation Method for forming an electrical contact in an integrated circuit
US4694954A (en) * 1985-10-18 1987-09-22 Moss Ira L Compact disc dispensing and storage assembly
US4723197A (en) * 1985-12-16 1988-02-02 National Semiconductor Corporation Bonding pad interconnection structure
EP0244848A1 (de) * 1986-05-07 1987-11-11 Siemens Aktiengesellschaft Verfahren zum Planarisieren von anorganischen, als Zwischenschichten bei Mehrlagenmetallisierung verwendbaren Isolationsschichten
US4862428A (en) * 1986-11-19 1989-08-29 The Commonwealth Of Australia Distributed array hydrophone
US4843034A (en) * 1987-06-12 1989-06-27 Massachusetts Institute Of Technology Fabrication of interlayer conductive paths in integrated circuits
JPS63313838A (ja) * 1987-06-17 1988-12-21 Hitachi Ltd 半導体装置およびその製造方法
JPH084109B2 (ja) * 1987-08-18 1996-01-17 富士通株式会社 半導体装置およびその製造方法
US4879257A (en) * 1987-11-18 1989-11-07 Lsi Logic Corporation Planarization process
JPH0793354B2 (ja) * 1988-11-28 1995-10-09 株式会社東芝 半導体装置の製造方法
JP2623812B2 (ja) * 1989-01-25 1997-06-25 日本電気株式会社 半導体装置の製造方法
DE69031543T2 (de) * 1989-02-17 1998-04-09 Matsushita Electronics Corp Verfahren zum Herstellen einer Halbleitervorrichtung
US4920403A (en) * 1989-04-17 1990-04-24 Hughes Aircraft Company Selective tungsten interconnection for yield enhancement
US5157589A (en) * 1990-07-02 1992-10-20 General Electric Company Mutliple lamination high density interconnect process and structure employing thermoplastic adhesives having sequentially decreasing TG 's
EP0469214A1 (en) * 1990-07-31 1992-02-05 International Business Machines Corporation Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
US5268333A (en) * 1990-12-19 1993-12-07 Samsung Electronics Co., Ltd. Method of reflowing a semiconductor device
JP2875093B2 (ja) * 1992-03-17 1999-03-24 三菱電機株式会社 半導体装置
US5455205A (en) * 1992-03-25 1995-10-03 Matsushita Electric Industrial Co., Ltd. Method of producing semiconductor device
US5474955A (en) * 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
EP0677869B1 (en) * 1994-04-12 1999-03-17 STMicroelectronics S.r.l. Planarization process for the manufacturing of integrated circuits, particularly for non-volatile semiconductor memory devices
US5496776A (en) * 1995-04-27 1996-03-05 United Microelectronics Corporation Spin-on-glass planarization process with ion implantation
US5656556A (en) * 1996-07-22 1997-08-12 Vanguard International Semiconductor Method for fabricating planarized borophosphosilicate glass films having low anneal temperatures

Also Published As

Publication number Publication date
JPH05267298A (ja) 1993-10-15
US5930674A (en) 1999-07-27
GB2265254B (en) 1996-03-13
HK58997A (en) 1997-05-16
US5479054A (en) 1995-12-26
DE4307546C2 (de) 1995-05-11
KR930020585A (ko) 1993-10-20
GB2265254A (en) 1993-09-22
KR960010062B1 (ko) 1996-07-25
GB9303864D0 (en) 1993-04-14
DE4307546A1 (de) 1993-09-30

Similar Documents

Publication Publication Date Title
JP2875093B2 (ja) 半導体装置
US5710462A (en) Semiconductor integrated circuit device having multi-level wiring structure without dot pattern
KR100289978B1 (ko) 불휘발성반도체기억장치및그제조방법
US6368956B2 (en) Method of manufacturing a semiconductor device
US5150178A (en) Gate structure for a semiconductor memory device
JP3847940B2 (ja) 半導体装置の製造方法
JPS6040701B2 (ja) 多結晶シリコン層を有する半導体装置の製法
JPH10229086A (ja) 半導体装置およびその製造方法
KR100461334B1 (ko) 반도체소자의콘택홀형성방법
US6074923A (en) Method of fabricating metal-oxide-semiconductor transistor
US5851856A (en) Manufacture of application-specific IC
JPH10326896A (ja) 半導体装置及びその製造方法
JPH05218439A (ja) 半導体装置およびその製造方法
KR100269277B1 (ko) 반도체메모리장치의제조방법
JP2941048B2 (ja) Soi基板の作製方法
JP3210462B2 (ja) 半導体装置の製造方法
JPH06140391A (ja) 半導体装置の製造方法
JPH09275137A (ja) 半導体装置及びその製造方法
KR19980080643A (ko) 반도체 장치 및 그 제조 방법
JPH11238881A (ja) 半導体装置及びその製造方法
JPS61247073A (ja) 半導体装置の製造方法
JPH09120964A (ja) 配線の形成方法及び半導体素子の形成方法
JPH07161809A (ja) 半導体集積回路装置およびその製造方法
US7704817B2 (en) Method for manufacturing semiconductor device
JPS6149439A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19980623

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19981215

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080114

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090114

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090114

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100114

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110114

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110114

Year of fee payment: 12

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110114

Year of fee payment: 12

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110114

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120114

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130114

Year of fee payment: 14

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130114

Year of fee payment: 14