JP2575378B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2575378B2
JP2575378B2 JP62068747A JP6874787A JP2575378B2 JP 2575378 B2 JP2575378 B2 JP 2575378B2 JP 62068747 A JP62068747 A JP 62068747A JP 6874787 A JP6874787 A JP 6874787A JP 2575378 B2 JP2575378 B2 JP 2575378B2
Authority
JP
Japan
Prior art keywords
region
trench
layer
mos
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP62068747A
Other languages
English (en)
Other versions
JPS62279677A (ja
Inventor
シアドーア、ジー、ホリンガー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ADOBANSUDO PAWAA TEKUNOROJII Inc
Microsemi Corp Power Products Group
Original Assignee
ADOBANSUDO PAWAA TEKUNOROJII Inc
Advanced Power Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ADOBANSUDO PAWAA TEKUNOROJII Inc, Advanced Power Technology Inc filed Critical ADOBANSUDO PAWAA TEKUNOROJII Inc
Publication of JPS62279677A publication Critical patent/JPS62279677A/ja
Application granted granted Critical
Publication of JP2575378B2 publication Critical patent/JP2575378B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/146Laser beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Light Receiving Elements (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は半導体装置の製造方法に関し、特にこのよう
な装置の製造において従来使用されてきた通常のいくつ
かのフォトリングラフィマスク内の欠陥およびもしくは
これらマスク間のミスアライメントの結果、装置内に発
生する致命的欠陥を実質的に完全に除去する方法に関す
る。
ここでの例示の目的のために、本発明を実施する好ま
しい態様を、本発明がとくに実用的であることが判明し
た製造における電界効果パワーMOSトランジスタを製作
する場合について記述する。もちろん、他の特定の半導
体装置の製造に本発明を応用することは当業者にとって
すぐに自明となろう。
〔従来の技術及び発明が解決しようとする問題点〕
シリコンウェーハ上での例えば電界効果パワーMOSト
ランジスタのようなトランジスタ装置の従来の製造にお
いては、(1)比較的大きな電流容量のトランジスタの
受け入れ可能な高い歩留りを、製造コストを極めて高く
かつ受け入れできないレベルに到らせることなく得る点
で重大な問題があった。従来この問題に対する主要な貢
献としては、最もよく知られた従来の製造技術におい
て、各々が装置内の致命的欠点を生成する機会を与える
5つあるいはそれ以上の独立のマスキング、拡散および
メタライゼーション工程を典型的に採用していた。一般
的には、このような工程の数が増加するにつれて、極め
て致命的な欠陥の発生する確率が高くなる。
これらの欠陥は連続的なマスキング工程間に生じるミ
スアライメントのために主として発生し、1つあるいは
それ以上のマスクが局在化した欠陥を独立して有する可
能性がある情況にもある。また、マスクあるいはウェー
ハ上に集まるかもしれない空中の汚染物質の結果生ずる
致命的な欠陥の可能性もあり、この可能性は現在要求さ
れている複数のマスキング工程によって深刻化するのは
もちろんである。
この問題の結果として、受け入れ可能な高い歩留りを
獲得することを期して比較的大型の高い電流の装置を製
造することは経済的に実行可能ではなかった。他のやり
方を採用すると、装置の設計が大きくなるにつれて、致
命的な欠陥を含むであろう可能性がそれだけ高くなる。
今日までのところ、経済的に実用性のある寸法限界は、
装置の各側面で約0.25インチであった。従って、従来の
傾向としては、欠陥を切り抜ける多数の小型装置の機会
が増加するように、個々の装置の寸法を減少させること
であった。しかしながら、受け入れ可能な歩留りパーセ
ントを生じつつも、これらの小型装置は比較的低いレベ
ルの電流、すなわち低電力用途を取り扱うことができる
のみである。従って、このような小型装置は、比較的高
電力の用途を取り扱うことができるように、あるいやり
方で集合して電気的に結合しなければならない。
より大きな表面積の装置の歩留りを改善する従来の努
力は、種々の事項に注意を向けることによって当初行わ
れていた。これらの事項の主要なものとして、可能なか
ぎり清浄な環境で製造工程を達成すること、極めて高価
な製造条件のもとでマスクを生成すること、および非常
に手の込んだ正確なアライメントマシンによるマスクア
ライメントを取り扱うことがある。このような面に注意
を向けることは極めて高価となり、実際問題として、結
果として完成装置に加算されなければならない最終の市
場価格に関してこうした装置を経済的に魅力のないもの
にしてしまう。
それ故本発明の一般的な目的は、たとえばシリコンウ
ェーハ等のサブストレート上で装置が全使用可能領域を
(すなわち単一装置として)占める場合にも、最終半導
体装置内で致命的欠陥が生ずるパーセント可能性を略零
にできる新規な製造手順を提供することである。
従って、関連した目的は、現在実用的に取り扱い可能
であるよりも著しく大きな電流を個別に取り扱うことが
できる高い歩留りで欠陥のない半導体装置の製造を可能
にする簡単で非常に価格の低い方法を提供するような手
順を提供することである。
本発明の簡便さ、有効性およびコスト的な有利さにと
って肝要な点は、本発明を実施する1つのやり方によれ
ば、半導体装置における通常の複数の機能領域の製造上
単一の独立したマスクのみが要求され、本発明を実施す
る他のやり方によれば、まったくマスクを必要としな
い。本発明によれば究極的に消失するドーパント不透明
領域あるいは層を重要なものとして用いることにより、
使用されている不純物添加工程にわたる優れた簡単に行
なえる制御を提供することにより、必要な動作可能な接
合を生成している。これらの記述は本発明の要旨を基本
的に概括するもので、他の目的および利点は以下に述べ
る記載を図面とともに読みにつれてより完全に明らかと
なるであろう。
〔実施例〕
図面を参照して、まず第1図および第2図に注目する
と、本発明の工程を実施する1つのやり方により製造さ
れたNチャネル電界効果パワートランジスタ(半導体装
置)が一般に10によって示されている。このトランジス
タ10は類似の従来装置に対して構造的には典型的なもの
であり、ゲート12、ドレイン14およびソース16を有して
いる。これら2つの図面に示される特定のトランジスタ
実施例においては、ゲート12は3個の「フィンガー」12
a,12b,12cを有している。
以下に続く記述において、ある寸法が与えられる。こ
れらの寸法は500ボルトスイッチとして動作するように
設計されたトランジスタにとって特定的なものである。
しばらく第2図を見ると、トランジスタ10を構成する
種々の層および機能領域を明瞭に見ることができる。よ
り詳細には、ベースN+不純物層18およびN-不純物エピタ
キシャル層20がドレイン14内にある。トランジスタ10の
構造内には、トランジスタのいわゆる「本体」を形成す
るP-不純物層22も含まれており、この層22内にトランジ
スタのソースを形成するN+不純物層24が存在している。
上記3個の層のすぐ上に、ゲート酸化物層(SiO2)26
および2個のメタライゼーション層28,30が存在してい
る。これら2個のメタル層は典型的に形成されており、
ここではアルミニウムにより形成されている。前記層28
はソース用の電気接点として働き、また前記層30は既述
のゲート12を形成している。
包括的に第3図乃至第16図に着目して、トランジスタ
10が本発明を実施する1つのやり方に従ってどのように
形成されたかについて考察してみる。
前述した層18,20は通常市販のシリコンウェーハに存
在しており、ここではトランジスタ10の製造用の「出発
物質」を形成するものとして考えることができる。今記
述されつつある特定の構造においては、層18は約15ミル
の厚さと約0.007〜0.02オーム・センチの抵抗率をもっ
ている。エピタキシャル層20はここでは約36〜44ミクロ
ンの厚さと約16〜22オーム・センチの抵抗率をもってい
る。また、ゲート酸化物層26はここでは約2,400Åの厚
さを有し、約1,000℃の温度で拡散炉内の酸化により通
常のやり方で熱的に成長されられる。さらに層18,20,26
はここではサブストレート構造として言及されているも
のを構成している。
第4図は層26上に存在する新しい層32の作成を図示し
たものである。ドーパント不透明領域としても言及され
ている層32はここではポリシリコンの形状をなしてお
り、これは通常の化学的蒸着法により作成され大体7,00
0Åの厚さを有している。以下に説明するように窮極的
に消失するこの層は、多層のマスキング工程を避ける点
と、適切な不純物添加を制御して所望の接合を生成する
点の双方において重要な役割を果す。前記層32として使
用すべき物質の選択に関連するいくつかの考察がある。
より詳細には、この層の物質は、前記層26がエッチング
可能である媒体とは異なった媒体内でエッチング可能で
なければならない。さらに、この層32は通常の拡散工程
を特徴づけている高温に耐える(すなわち構造的一体性
を保持する)ことができなければならない。さらに、こ
の層32は既述の層22,24を形成すべく用いられるドーピ
ング物質に非浸透(100%の浸透)でなければならな
い。この層として用いることが可能であるポリシリコン
以外の特定の物質は、窒化シリコン、高融点点金属シリ
サイド、および不純物拡散された酸化物を含んでいる。
なんらの無ピンホール技術によって層32との層34(第5
図参照)として、ネガのフォトレジストが作成される。
なお、ホジのフォトレジストもこの層を形成するために
用いることができる。
今まで記述してきている本発明を実施するやり方によ
れば、単一の独立したマスクを含む単一のマスキング工
程のみが要求されるだけである。このことは何らかの致
命的な欠陥が後続の処理工程間に生ずるであろう可能性
を最小化する肝要な因子である。他のやり方をとると、
それはやがて自明となることであるが、可能なかぎり後
続する工程で生じ得るなんらかの欠陥は、ほとんど例外
なく、用いられるマスクそれ自体内の内部欠陥に帰因す
ることになるであろう。もしこのような欠陥が回避でき
るならば、新たな無欠陥のマスクを容易に作成できる。
そこで、簡単に第6図に注目してみると、フォトリソ
グラフィ工程にここで採用されている単一の独立したマ
スクを一般に36で示している。当業者によってよく理解
されるように、窮極的にはトランジスタ10とパターンマ
スク36内で適切に作成され、そして第6図ではこのマス
ク36はたとえば領域36aのような光透過性領域と例えば
領域36bのような光不透過性領域を含んでいることがわ
かる。
第6図に示した構成体は典型的なフォトリソグラフィ
工程中光にされされ、その結果フォトレジスト層は露光
された領域(点線の外側)と露光されていない領域(点
線の内側)で終了している。
第7図は次の工程を示すもので、フォトレジスト、特
に露光されなかった領域が適切なフォトレジスト現像剤
によって通常のやり方で除去される。このような除去さ
れた領域を一般に38によって示す。
第8図は次の後続工程を示すもので、ポリシリコン層
は何らかの商用エッチング技術によってエッチングされ
て、フォトレジスト層34内に既に生成されている像を層
32内に移行させる。従って、領域38用の整合像が層32内
に生成され、そしてこれは一般に領域40にして示されて
いる。この領域40はここではマスク代替パターン形状決
定子として言及するものとし、さらに詳しくは第1のマ
スク代替パターン形状決定子と呼ぶ。「マスク代替パタ
ーン形状決定子」という術語を用いて本発明の重要な動
作上の特徴、すなわち、構造それ自体にこのようなパタ
ーン形状決定子を生成することによってマスク36以外の
独立したマスクを特に用いる必要性を完全になくすこと
ができることを指摘する。明らかに、このような欠陥が
後続する処理工程により構造内でもはやそれ以上進展し
ないという点において、このことはマスキングに関連し
た欠陥のない装置を製造することに重要な寄与となる。
このようにして形成されたパターン形状決定子はある
決まった輪郭(縁どり構成)をもっている。以下の記述
から明らかなになるように、この輪郭それ自体はまった
く変化することなく後続の製造工程における唯一の必要
なセルフアライメントマスキング剤として使用される。
次に、フォトレジスト層34をなんらかの適宜な通常の
技術によって除去する。この状態は第9図に示されてい
る。
ついで、製造プロセスはここでドーピング工程として
一般に言及されている工程に入ることになる。
第10図は第1の注入工程を示しており、以下説明する
ように既述の層22となるであろうボロン注入の形式を採
っている。このボロン注入は約160KEVのエネルギレベル
で通常の注入装置内で行われて約6×1013原子/cm3の層
20における注入密度を生ずる。点線42はこの工程による
層20内に注入された物質を示しており、この注入は約0.
27ミクロンの層20の深さにまで及んでいる。理解され得
るように、点線42はパターン形状決定子40の境界を越え
て横方向に延在しており、これは分散媒体として機能す
るゲート酸化物層の働きに起因している。
点線44は層32に浸透しているいくつかのボロンが存在
するもののこの層32に完全には浸透していない状態を示
している。大切な点は、ボロン侵透に対する層32の不透
明度は本工程における適切に制御されたドーピングを達
成するように機能することである。
ここでボロンを最初の注入物質として記述してきたけ
れども、窮極的に同じ機能を達成すべく使用可能な他の
注入物質にはビスマス、アルミニウムがある。
第11図は第1の拡散工程を示しており、約1150℃の典
型的な温度で約3〜8時間通常の拡散炉内で行われる。
ここで理解され得るように、結果としては既述の層22の
始まりが得られる。この拡散領域は典型的には約3〜6
ミクロンの第11図で46により示す深さをもっている。こ
の領域は寸法46の約60〜80%である一般に48で示す寸法
によってパターン形状決定子40の縁を越えて横方向に延
在している。
第12図はこの手順における次の工程を示しており、層
26を商用のエッチング技術によってエッチングして整合
パターン(第1のパターン形状決定子40の像)を層26へ
移行される。かくして、一般に49で示すように領域40と
(輪郭において)整合しかつここでは第2のマスク代替
パターン形状決定子として言及される層26内の領域が得
られる。
第13図はここではリンを用いて達成される第2の注入
工程を示している。この工程も、第1の注入工程につい
ても正しかったように、約160KEVのエネルギレベルで通
常の注入装置内で行われ約5×1015〜1×1016アトム/c
m3の最終注入密度を生ずる。層20となる注入は点線50に
よって示され、これは約0.2ミクロンの52で示す深さま
で及んでいる。なお、点線50によって示すように、今ま
さに行われた注入は拡散領域22内を完全に含みパターン
形状決定子40の境界をわずかに越えて延在している。ま
た点線51はリンが層32へわずかに浸透しているが、いか
なる場所にも完全に侵透していない状態を示している。
従って、ここでもまた層32のドーパント不透明度は、ボ
ロンドーピング注入工程について述べたように、適切な
最終的な不純物添加結果を制御して行うように有意味に
機能する。
ここではとくに隣を用いてこの注入を行なったけれど
も、使用可能な他の物質には砒素やアンチモンがある。
第14図はトランジスタ10を製造すべく行われる第2の
最終的な拡散工程を示している。この拡散工程もまた典
型的には約1100℃の温度で約1〜3時間拡散炉内で行わ
れる。結果として完全に22で示す領域で得られるもの
は、窮極的には既述のソース層24になる新しい拡散領域
である。この層24は約1〜3ミクロンの54で示す深さ寸
法と寸法54の約60〜80%である56で示す横方向延伸寸法
(パターン形状決定子40の境界を越えた延伸部)をもっ
ている。
第2の拡散工程の結果、層20の表面上の薄い酸化物フ
ィルム57の領域49内の成長が生ずる。本手順におけるこ
の時点においては、この酸化物はなんらかの適切な通常
のエッチング技術によって除去される。
第15図は後続の工程を示し、層20の上部のシリコンを
エッチングして一般に60で示すトレンチを生成する。ト
ランジスタ10においては、前述したウェーハ内のシリコ
ンはここでは<100>の結晶配位を有している。上記エ
ッチングは水酸化カリウムを用いて異方的に行われる。
上記の水酸化カリウムエッチングは硝酸、酢酸およびフ
ッ化水素酸の混合物を用いて等方性プレーナエッチング
を続けて行ない、残存するカリウムのいかなる形跡をも
除去するとともに、約0.25〜0.5ミクロンの除去された
壁の厚さとして考えられるものによって前記トレンチを
わずかに拡大して層26の下側のための張り出し(オーバ
ーバング)を生成することが望ましい。この状態は第15
図において点線62によって示されている。
これらのエッチングはトレンチ60が第2の拡散領域24
を完全に通るが、しかし第1の拡散領域は完全に通らず
延在することを確保すべく制御されるべきであることが
重要である。また、このようにして達成させるエッチン
グは、既述の層28,30のメタライゼーションの電気的な
分離を後の処理工程中積極的に確保するであろうトレン
チ60の充分に自立した壁を生成することも重要である。
第15図はプレーナエッチングの他の結果、すなわち層
32の除去を示している。
第16図は本発明を実施する最終工程を、今まで述べて
きたやり方で示している。ここに図示した工程はいわゆ
るメタライゼーションすなわち導電物質の被着工程であ
り、本工程ではアルミニウムを低温蒸発してソース層28
とゲート層30を生成することが望ましい。この工程は層
28と形成する金属が層24と接触するが、層30とは接触し
ないようなやり方で、行われるべきであることが重要で
ある。メタライゼーション層を生成するために低温蒸発
を採用したことと共に、第15図に関して既述した等方性
エッチングは層28,30間の非接触を獲保することを助長
する。
メタライゼーション工程が完了すると、トランジスタ
10の基本的な形成は完全となる。
本発明を実施するこのやり方によれば、単一の独立し
たマスクを用いて構造それ自体に窮極的に2個の決定さ
れた輪郭のマスク代替パターン形状決定子を生成するこ
とにより、致命的な欠陥が生ずる可能性を実質的に除去
していることが等業者には自明であるべきことであろ
う。これを考察する他のやり方は、独立したマスキング
工程を行なったら、他の重要な工程のすべて、すなわ
ち、2回の拡散工程、エッチング工程およびメタライゼ
ーション工程は、自己整合的になることである。このこ
とは本発明の肝要な寄与である。
前述したように、本発明を実施する他の重要なやり方
はある。このやり方を一般的に第17図に示す。第17図に
示されていることを理解するための助けとして、この第
17図は既述の第9図と関連づけられるべきである。
本発明を実施する他のやり方によれば、独立したマス
クを使用しない。むしろ、コンピュータ制御により、第
1のマスク代替パターン形状決定子40として前に普及し
たものはレーザビーム衝突あるいはイオンビーム射突の
いずれかによって直接層32に除去可能に形成される。ま
た、このような技術を用いてマスク代替パターン形状決
定子の形成において物質を除去する代りに、同じ技術を
用いてこのようなパターン形状決定子を被着することも
できる。次いで、前述した他の工程のすべてが各々同じ
やり方で行われる。
〔発明の効果〕
従って、本発明によって提案された方法が最善の公知
の従来の手順に対していかに劇的な改良を提供するかを
知るべきである。おおざっぱに言って、最終的に製造さ
れた半導体装置内のマスクに依存した極めて致命的な誤
差あるいは欠陥は完全に回避される。ドーピングは正確
かつ効果的に制御される。重要な結果として、シリコン
ウェーハの使用可能な全領域を、マスクに依存した欠陥
のない単一の極めて大型の装置を製造する場合でも確信
をもって用いることが可能である。
本発明の方法を採用したことに帰因する上記で議論し
た自明の利点に加えて、着目するに値する他の利点もあ
る。必要なマスキング工程の数を最小化、ある場合には
零にすることによって、製造時間と必要な製造人員の数
が減少する。また、現在必要であるよりも高価な処理装
置を少なくすることができる。加えて、全処理時間を減
少させることによって、プロセス調査の労働を軽減す
る。もちろんこれは重要な費用のかかる問題である。こ
れに関連して注目すべき点は、コンピュータによって制
御されるレーザ/イオンビーム用途における本発明の技
術を採用することによって、極めて短い時間で、例えば
一日よりも短くても半導体装置を容易に設計し製造する
ことができる。
本発明によって提供される他の利点は、多重のマスキ
ング工程を完成するために現在要求されている時間に対
する労働環境で生ずる温度と湿度の各変化に帰因するこ
とが可能である種類の欠陥を除去することである。その
まま構造内に組み込まれる生成されたマスク代替パター
ン形状決定子により、これらの可能性は除去される。
かくして、本発明の重要な目的および発明のために請
求される利点がいかに容易に得られるかを理解すべきで
ある。
ここでは本発明を実施する2つのやり方を記述してき
たけれども、本発明の精神を逸脱することなく種々の変
形や修正が可能である。
【図面の簡単な説明】
第1図は本発明の工程に従って製造された電界効果パワ
ーMOSトランジスタ(半導体装置)を細部を破断して示
す簡略された平面図、第2図は第1図の2−2線に大体
沿った第1図装置の一部を示す拡大部分斜視図、第3図
乃至第16図は本発明を実施する一つのやり方による連続
した工程を示す、第2図のA−A文字によってとり囲ま
れた領域に大体添った包括的な拡大部分図、第17図は本
発明を実施する他のやり方を示す第13図乃至第16図に類
似した図である。 10……パワートランジスタ、12……ゲート、14……ドレ
イン、16……ソース、26……ゲート酸化物層、28,30…
…メタライゼーション層、34……フォトレジスト層、36
……マスク、38……除去された領域、40……領域。

Claims (9)

    (57)【特許請求の範囲】
  1. 【請求項1】マスク代替パターン形状決定子を用いて、
    電界効果パワーMOS半導体装置を、ゲート酸化膜を半導
    体基板のより上部の表面上に含む基板構造内に製造する
    半導体装置の製造方法において、 導入されたドーパントがゲート酸化膜を貫通するのを妨
    げるドーパント不透明領域であるドーパント保護層をゲ
    ート酸化膜上に形成する工程と、 その下に積層されたゲート酸化領域及び半導体基板のよ
    り上部の表面の第1の部分を保護し、決定された輪郭に
    よって決定される領域内の半導体基板のより上部の第2
    の部分を露出させるための決定された輪郭を有するマス
    ク代替パターン形状決定子を形成するために、選択的に
    前記ドーパント保護層の一部を選択的に除去することに
    より前記ドーパント保護層をマスキング及びパターニン
    グする工程と、 前記ゲート酸化領域の真下のMOSチャネルを決定するた
    めの前記半導体基板のより上部の表面に沿った、前記保
    護層の周縁の端部の下の前記横方向のある距離まで拡張
    した前記第1の部分である第1の導電型のMOS領域に存
    在する第1のMOS領域が形成されるように、ドーパント
    を前記露出された半導体基板のより上部の第2の部分に
    導入する第1の積層工程と、 第1のMOS領域と反対の第2の導電型のMOS領域に、前記
    第1のMOS領域に完全に含まれて存在する第2のMOS領域
    が形成されるように、ドーパントを前記露出された半導
    体基板のより上部の第2の部分に導入する第2の積層工
    程と、 前記第1及び第2の積層工程の後に、前記露出された半
    導体基板のより上部の表面の部分に、トレンチ深さが前
    記第2のMOS領域より深いが前記第1のMOS領域より浅
    く、MOS半導体装置のソース領域を構成する、前記第2
    の導電型の分離された領域がトレンチの側壁を形成する
    ように、幅が前記第2のMOS領域より小さく形成された
    トレンチを形成するために、ドーパント保護層と前記露
    出された半導体基板のより上部の表面とを同時にエッチ
    ングする工程と、 ソース領域とMOS領域との両方に接続される、トレンチ
    内の第1のメタライゼーション層と、トレンチ側壁に沿
    って継続して拡張する前記領域と前記ゲート酸化膜上全
    面に形成された第2のメタライゼーション層との短絡と
    を同時に形成するために、装置の前記より上部の表面の
    全面に導電物質層を積層する工程とを備えたことを特徴
    とする半導体装置の製造方法。
  2. 【請求項2】前記側壁は前記ゲート酸化領域の真下をく
    りぬいたものであることを特徴とする特許請求の範囲第
    1項記載の方法。
  3. 【請求項3】前記第2の導電型の前記第2のMOS領域を
    含む基板の部分が、導電層に接続されるように、前記ト
    レンチ側壁のより上の領域に沿って露出され、前記トレ
    ンチ側壁が、トレンチ内の横方向に別に進行した深さの
    方向に向かって突き出すように、異方性エッチングを含
    むエッチングによって前記トレンチ側壁が形成されるこ
    とを特徴とする特許請求の範囲第1項又は第2項のいず
    れかに記載の方法。
  4. 【請求項4】前記トレンチ内の前記第1のメタライゼー
    ション層が、積層されるときに、前記ゲート酸化領域上
    に積層された前記第2のメタライゼーション層から分離
    されるように、導電物質層が積層されることを特徴とす
    る特許請求の範囲第1項乃至第3項のいずれかに記載の
    方法。
  5. 【請求項5】前記トレンチは、所定のトレンチ深さに形
    成され、前記第1のメタライゼーション層は、前記基板
    のトレンチ側壁に沿って前記分離された領域が接続さ
    れ、かつ、前記メタライゼーション層の2つの面が決定
    された輪郭に沿って電気的に分離されるように、第1の
    メタライゼーション層が酸化膜下に間隔をあけるため
    に、トレンチ深さに対して所定の厚さにトレンチ内に積
    層されることを特徴とする特許請求の範囲第1項乃至第
    4項のいずれかに記載の方法。
  6. 【請求項6】前記第2の導電型の前記第2のMOS領域の
    形成は、前記横方向の拡張が、保護層の周縁の端部の下
    に、前記より上部の基板表面から下に拡張した前記第1
    のMOS領域の60%から80%の距離に拡張することによる
    ものであることを特徴とする特許請求の範囲第1項乃至
    第5項のいずれかに記載の方法。
  7. 【請求項7】前記第2の積層工程は、トレンチが、前記
    ドーピングされた基板の部分を、各トレンチ側壁に沿っ
    て拡張した分離されたソース領域中に分離するように、
    トレンチを形成する前の前記基板の前記露出した部分へ
    のイオン注入ドーパントを含むことを特徴とする特許請
    求の範囲第1項乃至第6項のいずれかに記載の方法。
  8. 【請求項8】ドーパント保護層は、ポリシリコン層を含
    むことを特徴とする特許請求の範囲第1項乃至第7項の
    いずれかに記載の方法。
  9. 【請求項9】ドーパント保護層は、前記ゲート酸化膜が
    露出され、前記第2のメタライゼーション層が前記ゲー
    ト酸化膜と直接接続されて積層されるように、トレンチ
    を形成するために、エッチング工程の間に完全に除去さ
    れることを特徴とする特許請求の範囲第1項乃至第7項
    のいずれかに記載の方法。
JP62068747A 1986-03-21 1987-03-23 半導体装置の製造方法 Expired - Fee Related JP2575378B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/842,771 US4748103A (en) 1986-03-21 1986-03-21 Mask-surrogate semiconductor process employing dopant protective region
US842771 1986-03-21

Publications (2)

Publication Number Publication Date
JPS62279677A JPS62279677A (ja) 1987-12-04
JP2575378B2 true JP2575378B2 (ja) 1997-01-22

Family

ID=25288204

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62068747A Expired - Fee Related JP2575378B2 (ja) 1986-03-21 1987-03-23 半導体装置の製造方法

Country Status (7)

Country Link
US (1) US4748103A (ja)
EP (1) EP0238362B1 (ja)
JP (1) JP2575378B2 (ja)
KR (1) KR960000387B1 (ja)
AT (1) ATE116479T1 (ja)
CA (2) CA1253262A (ja)
DE (1) DE3750909T2 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2172427A (en) * 1985-03-13 1986-09-17 Philips Electronic Associated Semiconductor device manufacture using a deflected ion beam
US5182234A (en) * 1986-03-21 1993-01-26 Advanced Power Technology, Inc. Profile tailored trench etch using a SF6 -O2 etching composition wherein both isotropic and anisotropic etching is achieved by varying the amount of oxygen
US4895810A (en) * 1986-03-21 1990-01-23 Advanced Power Technology, Inc. Iopographic pattern delineated power mosfet with profile tailored recessed source
JP2615667B2 (ja) * 1987-09-28 1997-06-04 日産自動車株式会社 Mos電界効果トランジスタの製造方法
JPH0783122B2 (ja) * 1988-12-01 1995-09-06 富士電機株式会社 半導体装置の製造方法
US4970173A (en) * 1989-07-03 1990-11-13 Motorola, Inc. Method of making high voltage vertical field effect transistor with improved safe operating area
US5155052A (en) * 1991-06-14 1992-10-13 Davies Robert B Vertical field effect transistor with improved control of low resistivity region geometry
EP0534530B1 (en) * 1991-09-23 2000-05-03 Koninklijke Philips Electronics N.V. Method of manufacturing a device whereby a substance is implanted into a body
US5297001A (en) * 1992-10-08 1994-03-22 Sundstrand Corporation High power semiconductor assembly
US5395777A (en) * 1994-04-06 1995-03-07 United Microelectronics Corp. Method of producing VDMOS transistors
US5631484A (en) * 1995-12-26 1997-05-20 Motorola, Inc. Method of manufacturing a semiconductor device and termination structure
GB2323706B (en) * 1997-03-13 2002-02-13 United Microelectronics Corp Method to inhibit the formation of ion implantation induced edge defects
DE19840032C1 (de) * 1998-09-02 1999-11-18 Siemens Ag Halbleiterbauelement und Herstellungsverfahren dazu
US6218701B1 (en) * 1999-04-30 2001-04-17 Intersil Corporation Power MOS device with increased channel width and process for forming same
US6819089B2 (en) 2001-11-09 2004-11-16 Infineon Technologies Ag Power factor correction circuit with high-voltage semiconductor component
US6825514B2 (en) * 2001-11-09 2004-11-30 Infineon Technologies Ag High-voltage semiconductor component
US20040036131A1 (en) * 2002-08-23 2004-02-26 Micron Technology, Inc. Electrostatic discharge protection devices having transistors with textured surfaces
US8629019B2 (en) 2002-09-24 2014-01-14 Vishay-Siliconix Method of forming self aligned contacts for a power MOSFET
US8080459B2 (en) * 2002-09-24 2011-12-20 Vishay-Siliconix Self aligned contact in a semiconductor device and method of fabricating the same
ITMI20042243A1 (it) * 2004-11-19 2005-02-19 St Microelectronics Srl Processo per la realizzazione di un dispositivo mos di potenza ad alta densita' di integrazione
US7569883B2 (en) * 2004-11-19 2009-08-04 Stmicroelectronics, S.R.L. Switching-controlled power MOS electronic device
US7544545B2 (en) 2005-12-28 2009-06-09 Vishay-Siliconix Trench polysilicon diode
JP2009524248A (ja) 2006-01-18 2009-06-25 ビシェイ−シリコニクス 高い静電放電性能を有するフローティングゲート構造
US8435873B2 (en) * 2006-06-08 2013-05-07 Texas Instruments Incorporated Unguarded Schottky barrier diodes with dielectric underetch at silicide interface
US10600902B2 (en) 2008-02-13 2020-03-24 Vishay SIliconix, LLC Self-repairing field effect transisitor
US9230810B2 (en) 2009-09-03 2016-01-05 Vishay-Siliconix System and method for substrate wafer back side and edge cross section seals
JP2016051812A (ja) * 2014-08-29 2016-04-11 キヤノン株式会社 接合型電界効果トランジスタの製造方法、半導体装置の製造方法、撮像装置の製造方法、接合型電界効果トランジスタ及び撮像装置
CN111106012B (zh) * 2019-12-20 2022-05-17 电子科技大学 一种实现半导体器件局域寿命控制的方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1289740A (ja) * 1969-12-24 1972-09-20
NL161305C (nl) * 1971-11-20 1980-01-15 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderin- richting.
US3863330A (en) * 1973-08-02 1975-02-04 Motorola Inc Self-aligned double-diffused MOS devices
US4015278A (en) * 1974-11-26 1977-03-29 Fujitsu Ltd. Field effect semiconductor device
FR2341943A1 (fr) * 1976-02-20 1977-09-16 Radiotechnique Compelec Procede de realisation de transistors par implantation ionique
FR2454698A1 (fr) * 1979-04-20 1980-11-14 Radiotechnique Compelec Procede de realisation de circuits integres a l'aide d'un masque multicouche et dispositifs obtenus par ce procede
US4383026A (en) * 1979-05-31 1983-05-10 Bell Telephone Laboratories, Incorporated Accelerated particle lithographic processing and articles so produced
DE2930780C2 (de) * 1979-07-28 1982-05-27 Deutsche Itt Industries Gmbh, 7800 Freiburg Verfahren zur Herstellung eines VMOS-Transistors
US4231811A (en) * 1979-09-13 1980-11-04 Intel Corporation Variable thickness self-aligned photoresist process
US4545113A (en) * 1980-10-23 1985-10-08 Fairchild Camera & Instrument Corporation Process for fabricating a lateral transistor having self-aligned base and base contact
JPS5793549A (en) * 1980-12-03 1982-06-10 Fujitsu Ltd Manufacture of semiconductor device
US4329773A (en) * 1980-12-10 1982-05-18 International Business Machines Corp. Method of making low leakage shallow junction IGFET devices
GB2102202A (en) * 1981-07-17 1983-01-26 Westinghouse Brake & Signal Semiconductor device passivation
EP0073025B1 (en) * 1981-08-21 1989-08-09 Kabushiki Kaisha Toshiba Method of manufacturing dielectric isolation regions for a semiconductor device
US4375124A (en) * 1981-11-12 1983-03-01 Gte Laboratories Incorporated Power static induction transistor fabrication
US4497107A (en) * 1981-11-12 1985-02-05 Gte Laboratories Incorporated Method of making self-aligned high-frequency static induction transistor
US4437925A (en) * 1981-11-12 1984-03-20 Gte Laboratories Incorporated Etched-source static induction transistor
US4468682A (en) * 1981-11-12 1984-08-28 Gte Laboratories Incorporated Self-aligned high-frequency static induction transistor
US4486943A (en) * 1981-12-16 1984-12-11 Inmos Corporation Zero drain overlap and self aligned contact method for MOS devices
US4553316A (en) * 1981-12-24 1985-11-19 Texas Instruments Incorporated Self-aligned gate method for making MESFET semiconductor
JPS58130575A (ja) * 1982-01-29 1983-08-04 Hitachi Ltd 電界効果トランジスタの製造方法
US4459605A (en) * 1982-04-26 1984-07-10 Acrian, Inc. Vertical MESFET with guardring
US4625388A (en) * 1982-04-26 1986-12-02 Acrian, Inc. Method of fabricating mesa MOSFET using overhang mask and resulting structure
US4419811A (en) * 1982-04-26 1983-12-13 Acrian, Inc. Method of fabricating mesa MOSFET using overhang mask
US4503598A (en) * 1982-05-20 1985-03-12 Fairchild Camera & Instrument Corporation Method of fabricating power MOSFET structure utilizing self-aligned diffusion and etching techniques
US4450041A (en) * 1982-06-21 1984-05-22 The United States Of America As Represented By The Secretary Of The Navy Chemical etching of transformed structures
US4561168A (en) * 1982-11-22 1985-12-31 Siliconix Incorporated Method of making shadow isolated metal DMOS FET device
US4414059A (en) * 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
US4586243A (en) * 1983-01-14 1986-05-06 General Motors Corporation Method for more uniformly spacing features in a semiconductor monolithic integrated circuit
JPS6066862A (ja) * 1983-09-22 1985-04-17 Matsushita Electronics Corp 縦型mosfetの製造方法
JPS60128622A (ja) * 1983-12-16 1985-07-09 Hitachi Ltd エツチング法
US4644637A (en) * 1983-12-30 1987-02-24 General Electric Company Method of making an insulated-gate semiconductor device with improved shorting region
US4566172A (en) * 1984-02-24 1986-01-28 Gte Laboratories Incorporated Method of fabricating a static induction type recessed junction field effect transistor
US4543706A (en) * 1984-02-24 1985-10-01 Gte Laboratories Incorporated Fabrication of junction field effect transistor with filled grooves
US4573257A (en) * 1984-09-14 1986-03-04 Motorola, Inc. Method of forming self-aligned implanted channel-stop and buried layer utilizing non-single crystal alignment key
US4558508A (en) * 1984-10-15 1985-12-17 International Business Machines Corporation Process of making dual well CMOS semiconductor structure with aligned field-dopings using single masking step

Also Published As

Publication number Publication date
JPS62279677A (ja) 1987-12-04
EP0238362A2 (en) 1987-09-23
CA1277437C (en) 1990-12-04
EP0238362A3 (en) 1988-11-30
DE3750909D1 (de) 1995-02-09
CA1253262A (en) 1989-04-25
ATE116479T1 (de) 1995-01-15
DE3750909T2 (de) 1995-05-11
KR960000387B1 (ko) 1996-01-05
KR870009492A (ko) 1987-10-27
US4748103A (en) 1988-05-31
EP0238362B1 (en) 1994-12-28

Similar Documents

Publication Publication Date Title
JP2575378B2 (ja) 半導体装置の製造方法
US4318751A (en) Self-aligned process for providing an improved high performance bipolar transistor
EP0039411B1 (en) Process for fabricating an integrated pnp and npn transistor structure
JP3847810B2 (ja) メサ分離soiトランジスタの製造方法
US4378630A (en) Process for fabricating a high performance PNP and NPN structure
US4729965A (en) Method of forming extrinsic base by diffusion from polysilicon/silicide source and emitter by lithography
EP0782185B1 (en) Process of fabricating semiconductor device having isolating oxide rising out of groove
US20050006704A1 (en) Silicon-on-insulator (SOI) integrated circuit (IC) chip with the silicon layers consisting of regions of different thickness
JP3148977B2 (ja) 半導体素子の製造方法
JPH0797625B2 (ja) 半導体記憶装置
US4443933A (en) Utilizing multi-layer mask to define isolation and device zones in a semiconductor substrate
EP0401786B1 (en) Method of manufacturing a lateral bipolar transistor
JPS6362897B2 (ja)
JPS6123657B2 (ja)
KR910000020B1 (ko) 반도체장치의 제조방법
JPH0324069B2 (ja)
JPH07130834A (ja) 半導体装置およびその製造方法
JP2622047B2 (ja) 半導体デバイスおよびその製造方法
JPS58166766A (ja) 半導体装置の製造方法
JP3063122B2 (ja) 半導体装置およびその製造方法
JPH06244415A (ja) 半導体装置およびその製造方法
JPH0272632A (ja) 半導体装置の製造方法
JPS58182269A (ja) 半導体装置及びその製造方法
JPH05182977A (ja) 半導体装置の製造方法
JPH05347312A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees