JP2020524907A - 埋め込み型電力レール - Google Patents

埋め込み型電力レール Download PDF

Info

Publication number
JP2020524907A
JP2020524907A JP2019570902A JP2019570902A JP2020524907A JP 2020524907 A JP2020524907 A JP 2020524907A JP 2019570902 A JP2019570902 A JP 2019570902A JP 2019570902 A JP2019570902 A JP 2019570902A JP 2020524907 A JP2020524907 A JP 2020524907A
Authority
JP
Japan
Prior art keywords
power rail
rail
isolation trench
forming
dielectric cap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019570902A
Other languages
English (en)
Other versions
JP6865864B2 (ja
Inventor
スミス,ジェフリー
ジェイ. デヴィリアーズ,アントン
ジェイ. デヴィリアーズ,アントン
エヌ. タピリー,カンダバラ
エヌ. タピリー,カンダバラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2020524907A publication Critical patent/JP2020524907A/ja
Application granted granted Critical
Publication of JP6865864B2 publication Critical patent/JP6865864B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本開示の態様は、半導体デバイス及び半導体デバイスの製造方法を提供する。半導体デバイスは、分離トレンチ内に形成された電力レールを含む。電力レールは、誘電体キャップによって被覆され、誘電体キャップは、その上の導電パターン構造から電力レールを分離する。更に、導電パターン構造を電力レールと選択的に接続するために、誘電体キャップ内に開口が選択的に形成され、導電材料で充填される。【選択図】図1

Description

優先権の主張及び相互参照
この本開示は、2017年6月22日に出願された米国仮出願第62/523,704号「Method to Self−align Buried Power Rails and Below−device Wiring for Random and Non−random Logic Applications and Designs」の利点を主張するものであり、この仮出願は、その全体が参照によって本明細書に組み込まれる。
本開示は、半導体デバイス及び製造プロセスに全体として関連した実施形態を記載する。
本開示は、集積回路ならびに集積回路用トランジスタ及びトランジスタ構成要素などの半導体デバイスの製造方法に関する。(特に顕微鏡的スケールの)半導体デバイスの製造において、様々な製造プロセスが実行される。例えば、膜形成堆積、エッチングマスク作製、パターニング、材料エッチング及び除去、ならびにドーピング処理などが、所望の半導体デバイス素子を基材上に形成するために繰り返し実行される。歴史的には、超微細加工により、トランジスタは、上部に形成された配線/金属皮膜と共に1つの平面内に作製され、従って2次元(2D)回路または2D構造として特徴付けられてきた。スケーリング効果により、2D回路内の単位領域当たりのトランジスタ数が大幅に増加したものの、一桁ナノメートルの半導体デバイスの製造ノードにスケーリングが突入したため、スケーリング効果はより大きな課題に直面している。
本発明は、フィン電界効果トランジスタ(fin field effect transistor:FINFET)、ナノワイヤ、ナノシート、または相補型積層ナノワイヤ及び/またはナノシートのいずれかを組み込んだランダムロジックと非ランダムロジックとの両方のデバイス製造に関係する。スタンダードロジックセルにおいて、デバイス(例えば、トランジスタ)への電力は、バックエンドオブライン(back−end of line:BEOL)金属層内の電力レールを介してソース/ドレイン接点に供給される。電力レールは、通常、一般に東西方向と呼ばれる方向で各隣接セルを横切って走る。電力レールは複数のセルに電力を供給する必要があるため、電力レールは、セル内で使用されるスタンダードなルーティングトラック/信号線と比較して非常に大きいサイズ(例えば、より大きい幅)で実装されることが多い。通常、普通のルーティング線と比較した電力レールのサイズの違いは3〜4倍の大きさとなり得るため、電力レールは、セルデザイン内で多大な領域を利用する。レールを介した抵抗を適切に維持して、IRドロップ及びデバイス内に供給されるために電力レールが必要とする周波数を含む適切な配電目標値を維持するためには、電力レールの限界寸法をより大きくすることが必要とされる。
電力レールのサイズをより深く(例えば、アスペクト比をより高く)することによって電力レールの水平方向(幅)サイズを縮小することにより、効率的に電力レール内の全金属体積を同一に維持し、または増加させつつトップダウン断面をより小さくすること(例えば、金属線の幅をより小さくすること)を可能にする方式が考案されている。アスペクト比が増加すると、電力レール全体にわたって抵抗がより低くなる。これにより、IRドロップ及び電力レールが供給されるのに必要とする周波数を改善して維持することができる。単にBEOL内の電力レールのアスペクト比を増加させることは困難であることが多い。というのも、これは、信号線をデバイスに接続するためにより大きいアスペクト比のビア(例えば、より高いビア抵抗)を駆動することになる、あるいは、同様に同程度のアスペクト比を有する信号線を必要とすることによりBEOL内のトラック間の容量が増大することになるためである。1つの方式は、物理デバイス(例えばトランジスタ)の下に電力レールを「埋め込む」、または位置付けることを含む。この場合、電力レールのアスペクト比は、BEOL内の信号線とは別に増加させることができ、これにより、BEOL内の抵抗によっても容量によっても悪影響を及ぼすことなく電力レールを介した抵抗を大幅に小さくする手段が提供される。この方法では、従来のプルダウン方式によるものとは対照的なボトムアップ方式によって金属接点に電力が供給される。
物理デバイスの下に電力レールを埋め込むことによりセルのフィート数を減少させることができる。例えば、一般に、セルは、スタンダードセルレイアウトライブラリにおいて一定高さ・可変幅セルとして実現される。高さを一定にすることにより、セルを複数列に配置することが可能になり、自動レイアウトデザインのプロセスが容易になる。列方向は東西方向と呼ばれる方向であり、東西方向に対して垂直である方向は南北方向と呼ばれる。この命名規則によれば、M0は、一般に東西方向に走る線を含むことになり、他方、M1は、南北方向に走る線を有することになる。後続の金属層は、直前の金属層に対して垂直に走ることになる。
物理デバイスの下に電力レールを埋め込むことにより、スタンダードセルのセルの高さを電力レールとルーティングトラックとの組み合わせではなく単にルーティングトラックまたは信号線の数によって定義することができる。これにより、実際のルーティングトラックの数が同一である場合でも、この概念の採用によって(電力レールの幅がルーティングトラック線の幅に等しい、または2もしくは3倍であると仮定して)6.0〜6.5ルーティングトラック(6.5T)のセルの高さから5.0ルーティングトラックのセルの高さにまで容易にスケールダウンすることが可能になる。
(南北方向の)隣接セルからVssまたはVddのいずれかに接続することは、共通の電力レールに沿って行うことができる。一実施例において、電力レール(例えば、Vdd)は、スタンダードセルの上段とスタンダードセルの下段との間の下方に位置する。電力レールは東西方向に走る。上段のセルは北向きであり、下段のセルは南向きであり、例えば、電力レールをVdd電源として共通に使用することができる。電力レールは、上段の北向きのセルによってタップ付けすることができ、同様に隣接する下段の南向きのセルによってタップ付けすることができる。大きい非埋め込み型レールの場合、これは、両方の接続を行うのに十分な空間がレール上に存在するために適合可能であり、それらの接続は、ビアがレールを介して下の金属ドレイン(メタライゼーションのためのチャネルまたはトンネルなど)に転写されるトップダウンの集積化方式でなされる。従って、リソグラフィまたはパターニングのいずれかでなされる任意の整合が、エッチングプロセスによって直接転写される。しかしながら、埋め込み型レールの実施形態に関しては、抵抗の仕様を満たすのにどの程度の大きさのアスペクト比が必要とされるかに応じて、電力レールは、シャロートレンチアイソレーション(shallow−trench isolation:STI)の中に、またはバルクシリコンとSTIとの両方の中に封止される場合がある。置換金属ドレインの酸化物充填によって下に接続すること、ならびに金属レール及び物理的にレールに隣接したSTI以外に載置することは実行困難であり得る。配置誤差が生じると、レールに金属が余計に充填されることになる。その理由は、そのプロセスの次のステップにおいて、埋め込み型レールに接続した後に金属ドレインをメタライゼーションするためである。逆に、特にレールと金属ドレインとの間の接続が12nm未満のサイズであるデザインにおいて、電力レールに対して不十分な接続がなされる配置誤差が生じると、重大な抵抗ペナルティが発生する。
南北方向の隣接する2つのセルからの2つのソース/ドレイン接点が、共通の電力レールから引き下ろされている場合、両方のスタンダードセル間のソース/ドレイン接点または電極を実際には共有することができる。これにより、ビア接続の配置において、(a)ビア抵抗を改善するために直径を大きくすること、及び(b)電力レールの幅の範囲内で任意の場所に配置することが可能となる。従って、エッジ配置誤差(edge−placement error:EPE)がある程度緩和される。
能動デバイスの下に電力レールを埋め込む集積化によって更なるいくつかの課題が生じる。これらの問題は、使用されるレールのサイズ、埋め込み型レールが実装される集積化プロセスシーケンス中の位置、シリコンまたはSiGeフィン構造(またはナノシートゲートオールアラウンド(gate−all−around:GAA)プロセスで使用されるSi/SiGeフィン超格子)に近接した埋め込み型電力レールの配置、これらのレールがタップ付けされる箇所(単一のスタンダードセル内と南北方向の2つの隣接セル間との両方)の密度及び位置、レールのメタライゼーション及びそれに続く金属ドレインへの接続のために必要とされる任意の関連ライナーと併せて埋め込み型レールのために使用される金属、ならびに集積化において埋め込み型電力レールを作る方法及び場所に対する熱制約を含むいくつかの要因によっても影響を受ける。
本明細書の例示的な実施形態は、主として埋め込み型電力レールへの適用を説明することに焦点を当てている。しかしながら、この適用は非限定的である。本明細書の実施形態は、ルーティング線またはローカル相互接続線もしくはセル間相互接続線などの、物理デバイスの下に存在するあらゆる裏面配線、ならびにウェーハの後部から直接電力レールを供給している埋め込み型配電網を対象とするように拡張することができる。
現在のところ、物理デバイスの下端部に対してなされるあらゆる配線を自己整合させる方法は存在しない。これは、配線が埋め込み型電力レール、メモリ用の埋め込み型ワード線、埋め込み型相互接続線、埋め込み型ルーティング線、ロジック用の埋め込み型セル間配線などを含むかどうかを問わない。
本明細書に開示された複数の自己整合方法が可能となることにより、現在の6.5Tから下の5Tまで、または、相補型FETを積層させたナノシートアーキテクチャなどの他のスケーリング概念に関連して実施される場合には更に下までも、ロジックデザインのセルの高さを大幅にスケーリングすることができ、後者の場合、4Tまたは更には3Tのセルの高さが、埋め込み型電力レールと共に実現可能となる。これは、セル自体を次々と積層可能である機構をも最終的に提供する。いくつかの実施例において、相補型積層ナノシート(NMOS上にPMOS)が開示される。このような積層デバイスは、埋め込み型の裏面配線の本明細書の実施形態と共に使用することにより、配置または線及び/または他の配線が、現在のメタライゼーション方法のまま、物理デバイスの下、複数の物理デバイスの中間、ならびに物理デバイスの上のいずれかでなされ得る複数セルの積層化にまで拡張することができる。
当然のことながら、本明細書で説明した種々のステップを議論する順序は、分かりやすくするために提示されている。一般に、これらのステップは、あらゆる適切な順序で実行することができる。加えて、本明細書の種々の特徴、技術、構成などのそれぞれが本開示の種々の箇所で議論されている場合があるが、各概念は、互いに独立して、または互いに組み合わせて実行できることが意図される。従って、本発明は、多くの異なる方法で具現化及び表現することができる。
本開示の態様は、半導体デバイスを提供する。半導体デバイスは、分離トレンチ内に形成され、必要に応じて下方に延びてバルクシリコン内に達する電力レールを含む。電力レールは、高k金属ゲート(high−k metal gate:HKMG)、ゲート電極、及び更にはソース/ドレイン電極から電力レールを分離するために、誘電体キャップによって、または別に堆積させたSTI酸化物によって被覆される。更に、誘電体キャップ内に開口を形成し、それを導電材料で充填して、ソース/ドレイン電極を電力レールと選択的に接続することができる。
本開示の態様は、半導体デバイスの製造方法を提供する。方法は、分離トレンチ内に、必要に応じて下方に延びてバルクシリコン内に達する電力レールを形成することを含む。更に、方法は、電力レールを誘電体キャップで上面被覆して誘電体キャップの上の導電パターン構造から電力レールを分離することを含む。次いで、方法は、誘電体キャップ内に開口を選択的に形成し、この開口に導電材料を充填して、充填された開口を介して導電パターン構造を電力レールと選択的に接続することを含む。誘電体キャップ材料を周囲のSTI酸化物とは別にすることにより、電力レールをソース/ドレイン電極に接続する構造を介して形成するときに選択的にエッチングすることによって自己整合のいくつかの手段を提供することができる。このキャッピング材料の堆積は、(a)従来の充填、CMP及びリセスプロセス、またはより好ましくは、(b)埋め込み型金属レールの上部表面にキャップ材料が選択的に堆積される選択堆積プロセスのいずれかによって行うことができる。
本開示の態様は、添付図面と併せて読まれるときに以下の詳細な説明から最も良く理解される。なお、業界の標準的慣行に従って、各種特徴は縮尺に合わせて描かれたものではない。実際には、各種特徴の寸法は、議論を分かりやすくするために任意に拡大または縮小される場合がある。
本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る半導体製造プロセス中の中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。 本開示のいくつかの実施形態に係る埋め込み型電力レールを形成するための中間段階の各種概略図を示す。
以下の開示は、提供される主題の種々の特徴を実装するために、多くの異なる実施形態または実施例を提供する。本開示を単純化するために、構成要素及び配置の特定の実施例について後述する。当然のことながら、これらは、単なる実施例であり、限定を意図するものではない。例えば、後続する説明において第2の特徴の上方に、または第2の特徴の上に第1の特徴を形成することは、第1の特徴と第2の特徴とが直接接触して形成される実施形態を含んでもよく、第1の特徴と第2の特徴とが直接接触し得ないように更なる特徴が第1の特徴と第2の特徴との間に形成され得る実施形態をも含んでもよい。加えて、本開示は、各種実施例において参照番号及び/または文字を繰り返す場合がある。この繰り返しは、単純かつ明確にするためであり、記載される各種の実施形態及び/または構成の間の関係をそれ自体規定するものではない。
更に、「下方(beneath)」「下(below)」、「下方(lower)」、「上(above)」、「上方(upper)」などの空間的に相対的な用語は、図面で示されるような、ある要素または特徴の別の要素(複数可)または特徴(複数可)に対する関係を記述するための説明を容易にするために本明細書で使用され得る。空間的に相対的な用語は、図面に示された方向に加えて、使用中または動作中のデバイスの種々の方向を包含するように意図される。それ以外の場合、装置は、(90度回転させて、または他の方向に)方向付けられてもよく、従って、本明細書で使用される空間的に相対的な記述語も同様に解釈され得る。
本明細書の開示は、埋め込み型電力レールの自己整合方法をランダムロジックセルと非ランダムロジックセルとの両方に提供する。埋め込み型電力レールは、所与のロジック集積化フロー:(a)スタンダードフィン電界効果トランジスタ(fin field effect transistor:FINFET)プロセスの場合はバルクシリコンの直接上、(b)SiGe P型金属酸化物半導体(P−type metal−oxide−semiconductor:PMOS)チャネルプロセスの場合はバルクシリコンの上のSiGeエピタキシー膜の直接上、(c)ナノワイヤ及び/またはナノシート処理の場合はSi/SiGe多層スタックの直接上、または(d)フィンFETもしくはSi/SiGeフィンスタックがパターン化され、STI酸化物で充填された後、に挿入するための複数の位置を有する。
一般に、パターン密度を均一化して均一な処理環境を構成するために、ダミーフィンパターンがレイアウトにおいて追加される。処理中、例えば、ダミーフィンパターンは、個々のフィンFETデバイスを互いに隔離するために分離領域が最終的に形成される空間のためのスペースを作るために、または該スペースを画定するために、ある特定の時点にて除去される。ダミーフィンパターンの除去はフィンカット(FIN cut)と呼ばれる。ダミーフィンパターンの除去は、例えば、フィンエッチングをマスキングするためのハードマスク層内のダミーパターンを除去することにより、フィンエッチングプロセスの前に生じ得る。これは、CUTファースト方式と呼ばれる。ダミーフィンパターンの除去は、フィンエッチングプロセスの途中に生じ得る。これは、CUTミドル方式と呼ばれる。ダミーフィンパターンの除去は、フィン形成の後に生じ得る。これは、CUTラスト方式と呼ばれる。CUTラスト方式は、プロセス均一性を改善することができる。
用途(a)、(b)及び(c)のために埋め込み型レールを形成する場合、レールは、Si、SiGe、または積層Si/SiGeフィンの任意のパターニングの前において一定距離にパターン化及びエッチングすることができる。従って、バックエンドオブライン(BEOL)のためのデュアルダマシン法と類似の方法などで、完全なレールの深さがフィンエッチングプロセス中に転写される。この方式により、フィンエッチングプロセスを、先端技術のために半導体製造業者によって現在支持されているCUTラストではなく、CUTミドルまたはCUTファーストのいずれかで行うことができる。
フィンCUTラスト方式は、埋め込み型レールの深さ及び形状を歪ませる場合がある。同様に、抵抗制御を維持するために重要となる、深いが狭いレールを形成する場合、これは、パターン化されたフィンに隣接するレールの間に非常に限定された空間を有する深いトレンチを残し得るが、これにより、物理的な電力レールのサイズ及び/または形状に何らかの歪みが生じ得る。これにより、電気的パラメータに等しく関わる問題が起きる場合がある。加えて、フィンFET用途の場合、バルクシリコンは、電力レール及び隣接するフィンの形成後、いくつかの注入ステップに影響される場合があり、バルクシリコン内にエッチングされるレールに接した領域内への注入特性を制御することは困難である。このような集積化方式は可能であり、今説明してきたが、実施態様においていくつかの制限を有する。フィンエッチング及びSTI充填/CMPの後に埋め込み型レールがパターン化される選択肢(d)の場合、これは、埋め込み型電力レールを組み込むための好ましい実施形態である。
この実施形態において、埋め込み型レールは、STI酸化物の上部にパターン化され、STI酸化物の深さの範囲内で適切に停止するか、またはSTI酸化物の深さを完全に超えてバルクシリコン内に及ぶかのいずれかで、STI酸化物を介して転写される。バルクシリコン内への電力レールの最終的な深さは、埋め込み型レールの所望のアスペクト比に依存し、通常、IRドロップ、電力をレールに伝達及び供給する周波数、ならびに電力をレールに供給する周波数に対するデザインルール適合性及びこの周波数とルーティングの観点からのエリアスケーリングに対するその影響とに基づいた上部金属層のルーティングの影響などの配電網の仕様を満たすために、使用される金属の選択及び埋め込み型電力レールに要求される抵抗によって決められる。広い(ルーティング線と比較して1.5T〜4Tの広いレール)電力レールの場合、このトレンチのアスペクト比は2.5〜5.0のオーダーである。深くて狭いレールは、更なる利点を有し得るが、最大3倍のアスペクト比、すなわち7.5〜15.0を有する。このエッチングプロセスにより、非常に薄い(12nm未満)STI酸化物が生じる。これは、STI内の埋め込み型電力レールの意図された深さと同程度の深さである場合がある。これらの狭いトレンチをフィン間に充填した酸化物内に転写する際の課題には、埋め込み型電力レール間の空間の倒壊マージン(topple margin)及び歪みが含まれる。これは、寄生要素にとって重要である。
いくつかの実施形態において、自己整合プロセスにより、狭い埋め込み型レールを形成することができる。例えば、1.5T〜4Tの電力レールのサイズを有する最初のトレンチがSTI中にエッチングされる。埋め込み型電力レールの意図された深さに一旦達すると、エッチング選択膜をトレンチ内に共形的に堆積させてトレンチの両側に「スペーサ」を形成することができる。次いで、このエッチング選択材料は、トレンチの最下部における共形堆積を除去するために通常の「スペーサ開口」エッチングを経ることにより、広いトレンチの側壁に沿ったエッチング選択材料のみを残すことができる。次いで、酸化物または他の誘電体ライナー及び/または充填材料を、トレンチの残部に充填するために選択することができ、次いで、(CMPなどによって)平坦化し、または乾式もしくは湿式で下方に陥凹(リセス化)させて、「A/B」母材を露出させる。ここで、AはSTI酸化物または充填酸化物であり、Bはトレンチ内のエッチング選択材料である。次いで、非攻撃的エッチングプロセスを利用してエッチング選択材料「B」を掘削することができる。これにより、2つの同一トレンチが形成される。2つのトレンチは、これらが共に単一の共形堆積プロセスによって当初から形成されたために同一となる。2つの隣接する狭いトレンチの間の酸化物が倒壊することを防止する低アグレッシブエッチングプロセスが多数存在する。こうしたプロセスの1つに、化学的酸化物除去(chemical oxide removal:COR)気相エッチングがある。これは、100対1に至る選択性を実現することができる。加えて、他の準原子層エッチング(Atomic Layer Etching:ALE)、ならびに湿式及び/または乾式エッチングもある。
一旦、自己整合されたトレンチを形成して隣接する埋め込み型レールを生成すると、次いで、電力レールをメタライゼーションすることができる。
埋め込み型電力レールのためのメタライゼーションの選択は、集積化フローにおいて、レールが形成され、メタライゼーションされる箇所に影響を与える。S/Dエピ上にスパイクアニールが実行される前に埋め込み型レールを適切に形成し、メタライゼーションする場合、金属は、酸化物に対して非常に良好な熱特性を有する必要がある。例えば、金属は、金属ゲートに使用される膜の任意の堆積温度はもとより、700℃〜1100℃の範囲に及ぶ場合があるスパイクアニール温度下で安定であり得ることが必要である。埋め込み型レールが金属ゲート堆積及びS/Dスパイクアニールの前に配置される実施形態の場合、これにより、銅(450℃未満の熱的安定性)、コバルトまたはアルミニウムなどの金属の使用が排除される。いくつかの実施形態において、ルテニウムは、酸化物と比較して優れた熱的安定性を有することを考えると、埋め込み型電力レールをメタライゼーションするために集積化のこの時点で選択可能であり、ボトムアップ堆積プロセスを利用して深いトレンチ内に堆積させることもできる。
本開示の態様によれば、本明細書の技術は、リセス化されたルテニウム(または任意の他の材料)の上方にエッチング選択キャップを使用することによる電力レールの金属ドレインに対するボトムアップ自己整合を含む。いくつかの実施形態において、金属上誘電体(dielectric on metal:DoM)を堆積させるための選択堆積プロセスを利用してキャップを形成する。ルテニウムの場合、キャップは、(a)誘電体である、かつ電力レールとレールの上に位置する金属ドレインとの間の真の誘電体となるのに十分なサイズを有すること、または(b)接しているSTI酸化物もしくは誘電体ライナーに対してエッチング選択性であること、(c)電力レールと任意のオーバーハングしたゲート電極との間の誘電体であること、ならびに(d)誘電体キャップの高さが、埋め込み型電力レールの上部に対するHKMG及びゲート電極の最終的な配置を実質的に定めること、及び電力レールとゲート電極との間の容量を制御するためにこの堆積量を制御可能であること、が可能である。キャップのエッチング選択特性を採用することにより、金属ドレインが開口されるとき、自己整合エッチングプロセスを確実に用いることができる。その場合、埋め込み型電力レールと任意のシリコンまたはSi/SiGeフィン構造との間の物理的隔離を適切に制御する必要もあるため、埋め込み型レールの軸に沿ってSTI酸化物を更に開口させずにキャップを開口することができる。これは、金属ドレイン全体が埋め込み型電力レールに対してキャップを開口し、タップが最初の埋め込み型レールトレンチと同じ同一のサイズとなるように制御することも可能にする。このサイズは、トレンチ内に更に堆積されるライナーを引いた埋め込み型電力レール自体のサイズとも同一である。これにより、使用される金属ドレインのサイズを完全に自由にすることができる。これは、ピンアクセスが制限されるエリアスケールデバイスにとって有益である。
本明細書のいくつかの実施形態の詳細な説明において、金属充填は、フィンエッチングの後に実行され、最後のSTI充填に後続するものとみなされ、フィン構造の上部に達するまで下方に研磨される。例示的な実施形態は埋め込み型電力レールに焦点を当てているものの、本明細書の技術は、物理デバイスの下に存在するルーティング線またはローカル相互接続線またはセル間相互接続線などのあらゆるバックサイド配線を対象とするように拡張することができる。
以下の例示的な実施形態は、相補型積層ナノシートデバイス(complimentary stacked nanosheet device:CFET)のためのプロセスフローを例示する。集積化プロセスフローは、フィンFET、水平積層ナノワイヤ及び/またはナノシート、ならびにSiGeチャネルフィンFETデバイスの製造に使用する場合と類似していることに注意されたい。
リセス化されたルテニウムの上方にエッチング選択キャップを使用することによって電力レールを金属ドレインに対してボトムアップで自己整合させることを利用する半導体プロセスについて、図1〜図14を参照して説明する。
図1は、いくつかの実施形態に係る半導体製造プロセス中の半導体デバイス100の一部の概略図を示す。図1の実施例において、Si/SiGeフィンエッチングが完了し、パッド酸化物/SiNキャップがフィンの上部に残されている。この特定の状況において、フィンエッチングは、フィンエッチングプロセスの前に既に行われている。これは、STIの下のシリコンがフィンの間の領域内で「平坦である」とみなされることを意味する。フィンCUTラスト方式を用いると、ダミーフィンは、フィン間のシリコン内に深い凹みを提供するこのステップの前にエッチングされる。これにより、埋め込み型電力レールの形成がより問題となる。従って、埋め込み型電力レールをこの例の集積化に組み込むために、フィンCUTファーストまたはフィンCUTミドル方式を採用してフィンパターンを画定することが好ましい。以下の図面は、例示的な結果を示す。
図2は、酸化物を堆積させ、CMPでSi/SiGeフィン構造の上部まで戻すことによってシャロートレンチアイソレーション(STI)がなされた後の半導体デバイス100の概略図を示す。
図3は、フォトレジスト層内にレールトレンチのためのパターンを生成した後の半導体デバイス100の概略図を示す。図3の例では、多層フォトリソグラフィスタックを使用してパターンを転写する。多層フォトリソグラフィスタックは、スピンオンカーボン(spin−on carbon :SOC)の下層、Si含有反射防止コーティング(Si−containing anti−reflective coating:SiARC)の中間層、及び上層のフォトレジストを含む。一例において、フォトレジスト内のパターンは、中間層SiARC及び下層SOCに最初に転写される。次いで、中間層SiARC及び下層SOC内のパターンが、例えば、レールトレンチエッチングにより、下方に転写されてSTI酸化物に達する。
図4は、埋め込み型レールトレンチエッチング後の半導体デバイス100の概略図を示す。埋め込み型レールトレンチは、STI酸化物の上部にパターン化され、例えば、エッチングによってSTI酸化物中に転写されることに注意されたい。一例において、パターンの転写は、STI酸化物の深さの範囲内で適切に停止する。別の一例において、パターン転写は、STI酸化物の深さを完全に超えてバルクシリコン内に及ぶまでエッチングする。広い(ルーティング線と比較して1.5T〜4Tの広いレール)電力レールの場合、このトレンチのアスペクト比は、2.5〜5.0のオーダーである。深くて狭いレールは、最大3倍のアスペクト比、すなわち7.5〜15.0を有し得る。このエッチングプロセスにより、非常に薄い(12nm未満)のSTI酸化物が生じる。これは、STI内の埋め込み型電力レールの意図された深さと同程度の深さである。これらの狭いトレンチをフィン間に充填した酸化物内に転写する際の課題には、埋め込み型電力レール間の空間の倒壊マージン及び歪みが含まれる。以下の図面は、例示的な結果を示す。
フィンFET用途において、バルクシリコンは、高濃度にドーピングすることができ、レールを完全にSTI内に保持することが好ましい場合がある。しかしながら、深いレールをSTI内に保持するは、フィンの最初の高さを従来作製されるものよりも非常に大きくさせる。通常、フィンFETの場合、フィン寸法は75A前後に達するため、この例の実施形態において、フィンのアスペクト比は、15−1を超えて十分に拡大される場合があり、20−1に迫る場合もある。これは極めて攻撃的であり、フィン形状に歪みをもたらす傾向があるため、いくつかの実施形態では埋め込み型レールをシリコン内まで掘ることが好ましい場合がある。一実施形態において、狭い埋め込み型レールを自己整合プロセスによって形成することができる。その場合、最初のトレンチは、STIを介してエッチングされるが、より一般的な1.5T〜4Tの電力レールのサイズである。
下方に延びてバルクシリコンに達する埋め込み型レールトレンチの実施形態において、埋め込み型レールは、バルクシリコン内へのパターンの転写後のトレンチ内への共形的(コンフォーマル)誘電体堆積により、バルクシリコンから物理的に分離される利点を得る。
図5は、原子層堆積(atomic layer deposition:ALD)SiOライナーなどのライナーを堆積させた後の半導体デバイス100の概略図を示す。
埋め込み型電力レールの意図された深さに一旦達すると、エッチング選択膜をトレンチ内に共形的に堆積させてトレンチの両側に「スペーサ」を形成することができる。次いで、このエッチング選択材料は、通常の「スペーサ開口」エッチングを経ることにより、トレンチの最下部における共形堆積を除去し、広いトレンチの側壁に沿ったエッチング選択材料のみを残すことができる。
本明細書の一実施形態において、狭い埋め込み型レールを、自己整合プロセスによっても形成することができる。その場合、最初のトレンチは、STI中にエッチングされるが、より一般的な1.5T〜4Tの電力レールのサイズである。埋め込み型電力レールの意図された深さに一旦達すると、エッチング選択膜をトレンチ内に共形的に堆積させてトレンチの両側に「スペーサ」を形成することができる。
図6は、エッチング選択膜(例えば、ALD犠牲膜)を堆積させた後の半導体デバイス100の概略図を示す。
次いで、このエッチング選択材料は、トレンチの最下部における共形堆積を除去するために通常の「スペーサ開口」エッチングを経ることにより、広いトレンチの側壁に沿ったエッチング選択材料のみを残すことができる。次いで、酸化物または他の誘電体ライナー及び/または充填材料を使用して、トレンチの残部を充填し、平坦化(例えば、CMP)させ、または乾式もしくは湿式で下方に陥凹させて、「A/B」母材を露出させる。ここで、AはSTI酸化物または充填酸化物であり、Bはトレンチ内のエッチング選択材料である。
図7は、酸化物充填後の半導体デバイス100の概略図を示す。
更に、次いで、非攻撃的エッチングプロセスを利用して、エッチング選択材料「B」を掘削することができる。これにより、2つの同一トレンチが形成される。
図8は、2つの同一トレンチが形成された後の半導体デバイス100の概略図を示す。
実施形態において、2つのトレンチは、これらが共に単一の共形堆積プロセスによって当初から形成されたために同一になり得る。2つの隣接する狭いトレンチの間の酸化物が倒壊することを防止する低アグレッシブエッチングプロセスが多数存在する。こうしたプロセスの1つに、化学的酸化物除去(COR)気相エッチングがある。これは、100:1に至る選択性を実現することができる。加えて、他の準原子層エッチング(ALE)、ならびに湿式及び/または乾式エッチングもある。以下の図面は、例示的な結果を示す。
次に、一例において、埋め込み型電力レールのために使用される金属及び/またはライナーでトレンチの底部が充填される。埋め込み型電力レールのための金属被覆の選択は、集積化フローにおいて、レールが形成され、メタライゼーションされる箇所に基づいている。S/Dエピ上にスパイクアニールがなされる前に埋め込み型レールを適切に形成し、メタライゼーションする場合、金属は、酸化物に対して非常に良好な熱特性を有すること、特に、金属ゲートに使用される膜の堆積温度はもとより、700〜1100℃の範囲に及ぶ場合があるスパイクアニール温度下で安定であり得ることが必要である。埋め込み型レールが金属ゲート堆積及びS/Dスパイクアニールの前に配置される実施形態の場合、これにより、銅(450℃未満の熱的安定性)、コバルトまたはアルミニウムなどの金属の使用が排除される。しかしながら、ルテニウムは、酸化物と比較して優れた熱的安定度を有することを考えると、埋め込み型電力レールをメタライゼーションするために集積化のこの時点で選択可能であり、ボトムアップ堆積プロセスを利用して深いトレンチ内に堆積させることもできる。しかしながら、ルテニウムなどの金属が使用された場合、これにより、ルテニウムの抵抗率及びそれによって生じる抵抗のため、埋め込み型電力レールが1.5T〜4Tのサイズのレールではなく狭いレールになる。狭い電力レールにボトムアップで接続することがより困難となるため、いくつかの実施形態において、金属ドレインへの接続を自己整合させることができる。以下の図面は、例示的な結果を示す。
図9は、ルテニウムでトレンチの底部を充填した後の半導体デバイス100の概略図を示す。一実施例において、ルテニウムは、トレンチ及び表面を覆って充填され、トレンチの底部までエッチングバック(陥凹)される。
本明細書の技術は、リセス化されたルテニウム(または任意の他の金属)の上方にエッチング選択キャップを使用することによって電力レールを金属ドレインに対してボトムアップで自己整合させることを含む。置換法によって電力レールが作製されるとき、S/Dスパイクアニールの後、または実際の金属ドレインメタライゼーションの最中にメタライゼーションが実行され得ることに注意されたい。ルテニウムの場合、キャップは、(a)誘電体である、かつ電力レールとレールの上に位置する金属ドレインとの間の真の誘電体となるのに十分なサイズを有すること、または、(b)接しているSTI酸化物または誘電体ライナーに対してエッチング選択性であること、が可能である。
図10は、エッチング選択キャップが凹型ルテニウムの上方に形成された後の半導体デバイス100の概略図を示す。
次いで、埋め込み型レールトレンチの残部をSTI酸化物または他の誘電体で充填した後、フィン構造の上部に達するまで下方に研磨する。
図11は、STI酸化物を充填し、下方に研磨した後の半導体デバイス100の概略図を示す。
次に、STIリセスエッチングプロセスを実行して、相補型積層ナノシートのための本実施例の場合、アクティブなフィンの底部またはSiGeに達するまでSTIを下げることができる。STIエッチングは、埋め込み型電力レールの上方のエッチング選択誘電キャップの上部で停止することができる。
図12は、STIリセスエッチングプロセスの後の半導体デバイス100の概略図を示す。
他の任意の実施形態を利用して埋め込み型電力レールをメタライゼーションすることにより、ルテニウムまたは他の選択的に堆積可能な金属以外にまで金属選択を推進できることに注意されたい。他の金属を埋め込み型電力レールに組み込むことを可能にする別の選択肢は、完全置換の金属レールとすることである。その場合、レールを金属で充填し、エッチング選択誘電体でキャップする代わりに、埋め込み型レールトレンチ画定プロセスの最中、トレンチを誘電体で完全に充填した後、メタライゼーションされた埋め込み型レールの意図された高さに達するまで下方に陥凹させる。続けて金属ドレインに接続する間、置換レール全体を等方的に除去し、次いで、金属で再充填することができる。埋め込み型電力レールが所与のセルを横切って、更には隣接する所与のセルにまで邪魔されずに走っていることは有益である。こうした連続的な電力レール金属を有することは困難となり得る。このような実施形態では、置換レール全体が、アクティブな接点から金属ドレインと、接続が要求されない箇所との両方まで除去される。金属が充填されるとき、この場合のこのような充填は、(単なるトップダウンまたはボトムアップ充填の代わりに)「サブウェイ」充填として実行される。その場合、金属は、レールへの所望の接続を伴わずに金属ドレインの下に走る埋め込み型レールの長さに沿って延びる。
レールがメタライゼーションされ、エッチング選択誘電体キャップでキャップされた本明細書の集積化実施形態を続けると、プロセスフローは、S/Dが形成された後、スパイクアニールを経て、その後の置換金属ゲートメタライゼーションを経るように継続する。集積化フローのこの時点で、意図された金属ドレインと電力レールに対する選択した接点の位置との間に接点が作られる。
例示的な実施形態は、一例として相補型FET積層ナノシートを使用し、説明は、主として、金属ドレインからVssに作られるタップに焦点を当てている。Vssは、2つの積層電極(底部はNMOSであり、上部はPMOSである)の最下部に繋がる。
この時点で、金属ドレインは、メタライゼーションされておらず、金属ゲートのメタライゼーションの最中に酸化物で充填される。この時点で、金属ドレイン内の酸化物は、埋め込み型電力レールの上方のエッチング選択誘電キャップの上部に達するまで下方に陥凹される(または、STIと金属ドレイン充填酸化物との間でエッチングが停止する場合、酸化物は完全に除去することができる)。キャップのエッチング選択特性を採用することにより、金属ドレインが開口されるとき、自己整合エッチングプロセスを確実に用いることができる。その場合、埋め込み型レールの軸に沿ってSTI酸化物を更に開口させずにキャップを開口させることができる。これは、金属ドレイン全体が埋め込み型電力レールに対してキャップを開口し、タップが最初の埋め込み型レールトレンチと同じ同一のサイズとなるように常に制御することも可能にする。このサイズは、トレンチ内に更に堆積されるライナーを引いた埋め込み型電力レール自体のサイズとも同一である。これにより、使用される金属ドレインのサイズを完全に自由にすることができる。これは、ピンアクセスが極度に制限されるエリアスケールデバイスにとって有益である。
図13は、金属ドレイン内の酸化物をエッチング選択誘電キャップの上部に達するまで下方に陥凹させた後の半導体デバイス100の概略図を示す。
金属ドレインは、いずれの酸化物(停止層が酸化物とSTIとの間に存在する場合)によって、または一般に置換接点と呼ばれるものの中に充填されるいくつかの他の材料によって充填することができる。置換接点は、一般に、複数の膜:(a)STI内の酸化物、(b)埋め込み型レールを保護する誘電体キャップ、(c)通常、ある種類の窒化物である、金属ゲートを保護するキャップ、及び(d)低kゲートスペーサ、に対する非常に良好な選択性を有する。以下の図面は、例示的な結果を示す。
図14は、置換接点を充填した後の半導体デバイス100の概略図を示す。
電力レールに対する電力タップは、結像(パターン化)され、置換接点を介して下方に転写される。埋め込み型電力レールが一連の高密度ルーティング線となるBEOL金属線を駆動するため、南北方向のセル間の間隔は、単純に限界金属ピッチの1/2となり、またはFoundry N5技術を考慮すると、約12nmとなる。2つの隣接セルによって電力レールの緯度方向軸に沿った同じ位置に電力タップがなされた場合、これは、EUV多重パターニングを用いた場合でも、ディスクリートビアを結像することが困難となることを意味する。従って、このような状況によって隣接セルの間に短絡が生じないことを確実にするために自己整合は有益である。これは、最初の広いトレンチが自己整合的にパターン化されて2つの同一の狭いレールを形成するという事実から自己整合が入る箇所である。従って、南北方向のセルがVssまたはVddレールのいずれかを共有するため、レールの各「ペア」はVddまたはVssのいずれかに対応する。この自己整合方法は、抵抗を改善するだけではなく、2つの隣接セルが短絡しないことを確実にする。
図15は、例えば、フォトレジスト層からSiARC及びSOC層内に電力タップが結像された後の半導体デバイス100の概略図を示す。
電力レールの上方のエッチング選択キャップは、このような電力レールへのタッピングが必要とされる金属ドレイン接点のために除去することができる。
図16は、エッチング選択キャップを除去した後の半導体デバイス100の概略図を示す。
次いで、金属ドレイン内の置換接点材料を除去することができる。
図17は、置換接点を除去した後の半導体デバイス100の概略図を示す。
次いで、金属ドレインをメタライゼーションして所望のレールへの接続を等しく満たすことができるが、不要な接続は、金属ドレインを介したタップの転写中に開口されなかったエッチング選択キャップによって遮断されたままである。
図18は、底部電極のためのドレインメタライゼーションの後の半導体デバイス100の概略図を示す。
相補型積層ナノシートFETの場合、底部電極(NMOS)は、上部電極(PMOS)とは別個にメタライゼーションする必要がある。同様に、Vss及びVddレールへの電力タップは、両方の組の電極に対して発生する。本明細書の実施形態において、別個のメタライゼーションは、複数のメタライゼーション及びエッチングステップを用いて、または選択堆積によって実行することができる。
図19は、底部電極のためのメタライゼーションのパターニング及び酸化層の堆積の後の半導体デバイス100の概略図を示す。
図20は、上部電極のためのドレインメタライゼーション及び酸化層の堆積の後の半導体デバイス100の概略図を示す。
半導体デバイス100において、各電力レールは、開口スペーサ技術を利用して形成された2つのレール線を含むことに注意されたい。図21〜30によって示したものなどのように、プロセスを変更して広い電力レールを形成することができる。
図21は、いくつかの実施形態に係る半導体製造プロセス中の半導体デバイス200の一部の概略図を示す。図21は図1と同様である。図21の例において、Si/SiGeフィンエッチングが完了し、パッド酸化物/SiNキャップがフィンの上部に残されている。
図22は、酸化物を堆積させ、CMPでSi/SiGeフィン構造の上部まで戻すことによってシャロートレンチアイソレーション(STI)がなされた後の半導体デバイス200の概略図を示す。図22は図2と同様である。
図23は、フォトレジスト層内にレールトレンチのためのパターンを生成した後の半導体デバイス200の概略図を示す。図23は図3と同様である。
図24は、例えば、エッチングによってSTI酸化物中にパターンが転写されるときの半導体デバイス200の概略図を示す。
図25は、バルクシリコン内にパターンが更に転写されるときの半導体デバイス200の概略図を示す。一例において、シリコン内へのパターン転写の前にスペーサ層を堆積させてシリコン内へのパターン転写を補助する。
図26は、SiO/TaNライナーなどのエッチング選択膜を堆積させた後の半導体デバイス200の概略図を示す。
図27は、ルテニウムを底部充填した後の半導体デバイス200の概略図を示す。
図28は、ルテニウムをエッチングバックした後の半導体デバイス200の概略図を示す。ルテニウムは、特定の深さのレールトレンチ内にエッチングで陥凹される。更に、ライナー内のTaNが除去される。
図29は、リセス化されたルテニウムの上方にエッチング選択キャップが形成された後の半導体デバイス200の概略図を示す。
図30は、STI酸化物を充填し、下方に研磨した後の半導体デバイス200の概略図を示す。
更に、図12〜20を参照して説明した同様のプロセスを利用して半導体デバイス200に対する製造プロセスを継続することができる。
半導体デバイス100及び200が積層デバイスを有する3Dデバイスであることに注意されたい。埋め込み型電力レールを製造するためのオペレーションは、積層しない通常のフィンFETのためにインテグレートすることができる。図31〜39は、本開示のいくつかの実施形態に係るフィンFETデバイス用の埋め込み型電力レールを形成するための中間段階の各種概略図を示す。
図31は、いくつかの実施形態に係る半導体製造プロセス中の半導体デバイス300の一部の概略図を示す。図31の実施例では、Siフィンエッチングが完了し、パッド酸化物/SiNキャップがフィンの上部に残されている。
図32は、酸化物を堆積させ、CMPでSi/SiGeフィン構造の上部まで戻すことによってシャロートレンチアイソレーション(STI)がなされた後の半導体デバイス300の概略図を示す。
図33は、例えば、エッチングによってSTI酸化物中にパターンが転写されるときの半導体デバイス300の概略図を示す。
図34は、スペーサ層を堆積させた後の半導体300の概略図を示す。スペーサ層は、(a)バルクシリコン内に埋め込み型レールトレンチパターンを転写している間、開口されたシリコンもSiGeフィン構造も全くエッチングされないことを確実にすること、及び(b)最終的な埋め込み型電力レールとシリコン、SiGeまたはシリコン/SiGeフィン構造との間に一定かつ制御可能な距離が存在することを原子層堆積によって確実にすることにより、シリコン内へのパターン転写を補助することができる。
図35は、バルクシリコン内にパターンが更に転写されるときの半導体デバイス300の概略図を示す。
図36は、SiO/TaNライナーなどのエッチング選択膜を堆積させた後にルテニウムをトレンチの底部から充填したときの半導体デバイス300の概略図を示す。
図37は、ルテニウムをエッチングバックした後の半導体デバイス300の概略図を示す。ルテニウムは、特定の深さのレールトレンチ内にエッチングで陥凹される。更に、ライナー内のTaNが除去される。
図38は、リセス化されたルテニウムの上方にエッチング選択キャップが形成された後の半導体デバイス300の概略図を示す。
図39は、ウェーハ製造プロセス後の半導体デバイス300の概略図を示す。
記載したように、本明細書の例示的な実施形態は、埋め込み型電力レールのための用途に焦点を当てている。これは、単なる1つの例示的な実施形態であり、本明細書の技術は、物理デバイスまたはトランジスタデバイスの下に存在するルーティング線またはローカル相互接続線またはセル間相互接続線などのあらゆるバックサイド配線を対象とするように拡張することができる。
上記の記述において、処理システムの特定の形状及び本明細書で使用される各種の構成要素及びプロセスについての説明などの、具体的な詳細事項が記載されている。しかしながら、これらの具体的な詳細事項から逸脱する他の実施形態において本明細書の技術が実施されてもよく、このような詳細事項は説明のためであり限定的ではないことを理解すべきである。本明細書で開示された実施形態について、添付図面を参照して説明してきた。同様に、説明のために、特定の番号、材料及び構成が、完全な理解を提供するために記載されてきた。しかしながら、実施形態は、このような具体的な詳細事項を用いずに実施されてもよい。実質的に同一の機能的構造を有する構成要素は同様の参照符号によって示されるため、冗長な説明は省略される場合がある。
各種技術は、各種実施形態を理解するのに役立つ複数の個別オペレーションとして説明されてきた。説明の順序は、これらのオペレーションが必然的に順序依存的であることを意味するものとして解釈されるべきではない。実際、これらのオペレーションは、表現した順序で実行される必要はない。説明したオペレーションは、説明した実施形態とは異なる順序で実行されてもよい。各種の追加的なオペレーションが実行されてもよく、及び/または、説明したオペレーションが追加的な実施形態において省略されてもよい。
本明細書で使用された「基材」または「対象基材」とは、一般に、本発明に従って処理されているものを指す。基材は、あらゆる材料部分、またはデバイス、特に半導体もしくは他の電子デバイスの構造を含んでもよく、例えば、半導体ウェーハなどのベース基材構造、レチクル、ベース基材構造の上にある、またはそれを覆っている薄膜などの層であってもよい。従って、基材は、特定のベース構造、下側層または上側層、パターン有りまたはパターン無しのいずれにも限定されず、むしろ、このような任意の層またはベース構造、ならびに層及び/またはベース構造の任意の組み合わせを含むように企図される。本説明は、特定の種類の基材を参照し得るが、これは単に例示目的のためである。
当業者は、本発明の同一の目的を依然として達成しつつ上述した技術のオペレーションに多くの変形を加えることが可能であることも理解するであろう。このような変形は、本開示の範囲によって包含されるように意図される。従って、本発明の実施形態についての前述の説明は、限定を意図するものではない。むしろ、本発明の実施形態に対するあらゆる限定は、以下の特許請求の範囲において提示される。
本開示は、集積回路ならびに集積回路用トランジスタ及びトランジスタ構成要素などの半導体デバイスの製造方法に関する。(特に顕微鏡的スケールの)半導体デバイスの製造においては、例えば、膜形成堆積、エッチングマスク作製、パターニング、材料エッチング及び除去、ならびにドーピング処理などの様々な製造プロセスが、所望の半導体デバイス素子を基材上に形成するために繰り返し実行される。歴史的には、超微細加工により、トランジスタは、上部に形成された配線/金属皮膜と共に1つの平面内に作製され、従って2次元(2D)回路または2D構造として特徴付けられてきた。スケーリング効果により、2D回路内の単位領域当たりのトランジスタ数が大幅に増加したものの、一桁ナノメートルの半導体デバイスの製造ノードにスケーリングが突入したため、スケーリング効果はより大きな課題に直面している。
図1は、いくつかの実施形態に係る半導体製造プロセス中の半導体デバイス100の一部の概略図を示す。図1の実施例において、Si/SiGeフィンエッチングが完了し、パッド酸化物/SiNキャップがフィンの上部に残されている。この特定の状況において、フィンカットは、フィンエッチングプロセスの前に既に行われている。これは、STIの下のシリコンがフィンの間の領域内で「平坦である」とみなされることを意味する。フィンCUTラスト方式を用いると、ダミーフィンは、フィン間のシリコン内に深い凹みを提供するこのステップの前にエッチングされる。これにより、埋め込み型電力レールの形成がより問題となる。従って、埋め込み型電力レールをこの例の集積化に組み込むために、フィンCUTファーストまたはフィンCUTミドル方式を採用してフィンパターンを画定することが好ましい。以下の図面は、例示的な結果を示す。

Claims (22)

  1. 分離トレンチ内に形成された電力レールと、
    前記電力レール上の誘電体キャップであって、前記電力レールを当該誘電体キャップの上の導電パターン構造から分離する誘電体キャップと、
    前記誘電体キャップ内に選択的に形成された開口であって、導電パターン構造を前記電力レールと選択的に接続するように導電材料で充填されている開口と、
    を含む半導体デバイス。
  2. 前記電力レールが前記分離トレンチ内部に形成される、請求項1に記載の半導体デバイス。
  3. 前記電力レールが前記分離トレンチを抜けてバルクシリコン基板の中まで形成される、請求項1に記載の半導体デバイス。
  4. 前記誘電体キャップ内に前記開口を生成するための自己整合を可能にするために、前記分離トレンチを形成する材料に対して前記誘電体キャップがエッチング選択性である、請求項1に記載の半導体デバイス。
  5. 前記誘電体キャップが、前記電力レールと前記分離トレンチ及び前記分離トレンチ内の酸化物との間のSiOライナーに対してエッチング選択性の材料である、請求項4に記載の半導体デバイス。
  6. 前記誘電体キャップが前記電力レールの上に選択的に堆積されている、請求項4に記載の半導体デバイス。
  7. 700℃以上で熱的安定性を有する金属材料によって前記電力レールが形成される、請求項1に記載の半導体デバイス。
  8. 前記金属材料が高融点金属である、請求項7に記載の半導体デバイス。
  9. 前記金属材料がルテニウムを含む、請求項7に記載の半導体デバイス。
  10. 前記電力レールの抵抗率要件を満たすように前記電力レールのアスペクト比が既定される、請求項1に記載の半導体デバイス。
  11. 前記アスペクト比と共に前記電力レールの限界寸法幅が既定される、請求項10に記載の半導体デバイス。
  12. レール開口を前記高融点金属で充填し、前記高融点金属を特定の深さまでエッチングバックすることによって前記電力レールが形成される、請求項8に記載の半導体デバイス。
  13. 半導体デバイスの製造方法であって、
    分離トレンチ内に電力レールを形成することと、
    誘電体キャップであって、当該誘電体キャップの上の導電パターン構造から前記電力レールを分離する誘電体キャップで前記電力レールを上面被覆することと、
    前記誘電体キャップ内に開口を選択的に形成することと、
    前記開口を導電材料で充填して、前記充填された開口を介して導電パターン構造を前記電力レールと選択的に接続することと、
    を含む方法。
  14. 前記分離トレンチ内に前記電力レールを形成することが、
    前記分離トレンチ内部に電力レールトレンチをエッチングすることと、
    前記分離トレンチ内部に前記電力レールを形成することと
    を更に含む、請求項13に記載の方法。
  15. 前記分離トレンチ内に前記電力レールを形成することが、
    前記分離トレンチを抜けてバルクシリコン基材の中まで電力レールトレンチをエッチングすることと、
    前記分離トレンチ及び前記バルクシリコン基材内に前記電力レールを形成することと
    を更に含む、請求項13に記載の方法。
  16. 前記電力レールを前記誘電体キャップの上の前記導電パターン構造から分離するために前記誘電体キャップで前記電力レールを上面被覆することが、
    前記誘電体キャップ内に前記開口を生成するための自己整合を可能にするために、前記分離トレンチを形成する材料に対してエッチング選択性である前記誘電体キャップで前記電力レールを上面被覆することを更に含む、請求項13に記載の方法。
  17. 前記分離トレンチを形成する材料に対してエッチング選択性である前記誘電体キャップで前記電力レールを上面被覆することが、
    前記電力レールと前記分離トレンチとの間のSiOライナーに対してエッチング選択性である前記誘電体キャップとして誘電体材料を選択的に堆積させることを更に含む、請求項16に記載の方法。
  18. 前記分離トレンチ内に前記電力レールを形成することが、
    700以上で熱的安定性を有する金属材料を使用して前記電力レールを形成することを更に含む、請求項13に記載の方法。
  19. 700℃以上で前記熱的安定性を有する前記金属材料を使用して前記電力レールを形成することが、
    ルテニウムを使用して前記電力レールを形成することを更に含む、請求項18に記載の方法。
  20. ルテニウムを使用して前記電力レールを形成することが、
    前記ルテニウムで前記分離トレンチ内のレール開口を充填することと、
    前記ルテニウムを特定の深さまでエッチングバックすることと
    を更に含む、請求項19に記載の方法。
  21. 前記分離トレンチ内に前記電力レールを形成することが、
    2つのレール線を含む前記電力レールを形成することを更に含む、請求項13に記載の方法。
  22. 前記2つのレール線を含む前記電力レールを形成することが、
    前記分離トレンチ内のレール開口の側壁及び底部の上にスペーサ層を共形的に堆積させることと、
    前記レール開口を誘電体材料で充填することと、
    レール開口の側壁に形成された前記スペーサ層を除去して2つのスペーサトレンチを形成することと、
    前記2つのスペーサトレンチをルテニウムで充填することと、
    前記ルテニウムを特定の深さまでエッチングバックすることと
    を更に含む、請求項21に記載の方法。
JP2019570902A 2017-06-22 2018-06-21 埋め込み型電力レール Active JP6865864B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762523704P 2017-06-22 2017-06-22
US62/523,704 2017-06-22
PCT/US2018/038678 WO2018237106A1 (en) 2017-06-22 2018-06-21 FEEDING RAILS

Publications (2)

Publication Number Publication Date
JP2020524907A true JP2020524907A (ja) 2020-08-20
JP6865864B2 JP6865864B2 (ja) 2021-04-28

Family

ID=64692751

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019570902A Active JP6865864B2 (ja) 2017-06-22 2018-06-21 埋め込み型電力レール

Country Status (6)

Country Link
US (1) US10586765B2 (ja)
JP (1) JP6865864B2 (ja)
KR (1) KR102380098B1 (ja)
CN (1) CN110800113B (ja)
TW (1) TWI734919B (ja)
WO (1) WO2018237106A1 (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10700207B2 (en) * 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
US10672665B2 (en) * 2018-09-28 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11527640B2 (en) 2019-01-03 2022-12-13 Intel Corporation Wrap-around contact structures for semiconductor nanowires and nanoribbons
US20200266169A1 (en) * 2019-02-19 2020-08-20 Tokyo Electron Limited Replacement buried power rail in backside power delivery
US10985103B2 (en) 2019-03-01 2021-04-20 Samsung Electronics Co., Ltd Apparatus and method of forming backside buried conductor in integrated circuit
KR20200133092A (ko) 2019-05-16 2020-11-26 삼성전자주식회사 반도체 소자
US11195797B2 (en) 2019-05-21 2021-12-07 Samsung Electronics Co., Ltd. Applications of buried power rails
KR20200134362A (ko) * 2019-05-21 2020-12-02 삼성전자주식회사 반도체 소자
US10886224B2 (en) 2019-05-22 2021-01-05 Samsung Electronics Co., Ltd. Power distribution network using buried power rail
KR20200134404A (ko) 2019-05-22 2020-12-02 삼성전자주식회사 반도체 장치
JP7268728B2 (ja) 2019-05-23 2023-05-08 株式会社ソシオネクスト 半導体装置
US11335599B2 (en) * 2019-05-24 2022-05-17 Tokyo Electron Limited Self-aligned contacts for 3D logic and memory
US11233008B2 (en) 2019-06-19 2022-01-25 Samsung Electronics Co., Ltd. Method of manufacturing an integrated circuit with buried power rail
US11101217B2 (en) 2019-06-27 2021-08-24 International Business Machines Corporation Buried power rail for transistor devices
EP3770952A1 (en) * 2019-07-24 2021-01-27 Imec VZW Method for forming a buried metal line in a semiconductor substrate
US11735525B2 (en) 2019-10-21 2023-08-22 Tokyo Electron Limited Power delivery network for CFET with buried power rails
CN112701082A (zh) * 2019-10-23 2021-04-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11309240B2 (en) 2019-10-31 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive rail structure for semiconductor devices
EP3836196B1 (en) * 2019-12-13 2023-04-26 Imec VZW Self-aligned contacts for nanosheet field effect transistor devices
EP3840054B1 (en) * 2019-12-20 2023-07-05 Imec VZW Self-aligned contacts for walled nanosheet and forksheet field effect transistor devices
US11362090B2 (en) * 2020-01-31 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having buried logic conductor type of complementary field effect transistor, method of generating layout diagram and system for same
DE102020125647A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit Komplementärfeldeffekttransistor des Typs mit vergrabenenen Logikleitern, Layout-Diagramm-Herstellungsverfahren und System dafür
US11195794B2 (en) 2020-02-05 2021-12-07 Samsung Electronics Co., Ltd. Stacked integrated circuit devices including a routing wire
US11217533B2 (en) 2020-02-07 2022-01-04 Globalfoundries U.S. Inc. Semiconductor device with metal structure under an active layer
US11145550B2 (en) 2020-03-05 2021-10-12 International Business Machines Corporation Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor
US11217528B2 (en) 2020-04-01 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having buried power rail disposed between two fins and method of making the same
US11342413B2 (en) * 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11676896B2 (en) * 2020-04-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method for forming the same
DE102021100118B4 (de) * 2020-04-30 2023-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter schaltkreis und verfahren zu dessen herstellung
US20210358847A1 (en) * 2020-05-13 2021-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including buried conductive fingers and method of making the same
KR20210138995A (ko) 2020-05-13 2021-11-22 삼성전자주식회사 표준 셀을 포함하는 집적 회로, 및 이를 제조하기 위한 방법
DE102021106180A1 (de) * 2020-05-14 2021-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter schaltkreis, system, und verfahren zu seiner herstellung
US11569168B2 (en) 2020-05-14 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system and method of forming the same
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11257758B2 (en) * 2020-06-24 2022-02-22 Taiwan Semiconductor Manufacturing Company Limited Backside connection structures for nanostructures and methods of forming the same
WO2021259479A1 (en) * 2020-06-25 2021-12-30 Huawei Technologies Co., Ltd. Semiconductor device, semiconductor die, and method of manufacturing a semiconductor device
US11569246B2 (en) 2020-06-29 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Four CPP wide memory cell with buried power grid, and method of fabricating same
US11393819B2 (en) * 2020-07-09 2022-07-19 Qualcomm Incorporated Semiconductor device implemented with buried rails
US11915984B2 (en) * 2020-07-17 2024-02-27 Synopsys, Inc. Forming a wrap-around contact to connect a source or drain epitaxial growth of a complimentary field effect transistor (CFET) to a buried power rail (BPR) of the CFET
US11710634B2 (en) 2020-07-17 2023-07-25 Synopsys, Inc. Fabrication technique for forming ultra-high density integrated circuit components
US20220020647A1 (en) * 2020-07-17 2022-01-20 Synopsys, Inc. Self-limiting manufacturing techniques to prevent electrical shorts in a complementary field effect transistor (cfet)
US11742247B2 (en) * 2020-07-17 2023-08-29 Synopsys, Inc. Epitaxial growth of source and drain materials in a complementary field effect transistor (CFET)
US20220037519A1 (en) * 2020-07-29 2022-02-03 Fu-Chang Hsu Transistor structures and associated processes
US11646318B2 (en) 2020-09-30 2023-05-09 Tokyo Electron Limited Connections from buried interconnects to device terminals in multiple stacked devices structures
US11836432B2 (en) * 2020-11-06 2023-12-05 Arm Limited Cell architecture with backside power rails
US11521927B2 (en) 2020-11-10 2022-12-06 International Business Machines Corporation Buried power rail for scaled vertical transport field effect transistor
US11769728B2 (en) 2020-11-13 2023-09-26 Samsung Electronics Co., Ltd. Backside power distribution network semiconductor package and method of manufacturing the same
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11848236B2 (en) 2020-12-02 2023-12-19 Tokyo Electron Limited Method for recessing a fill material within openings formed on a patterned substrate
EP4009360B1 (en) 2020-12-03 2023-05-03 Imec VZW Buried power rail contact formation
KR20220096442A (ko) * 2020-12-31 2022-07-07 삼성전자주식회사 반도체 장치
US11621332B2 (en) 2021-01-14 2023-04-04 International Business Machines Corporation Wraparound contact to a buried power rail
US20220238679A1 (en) * 2021-01-22 2022-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US11915966B2 (en) 2021-06-09 2024-02-27 International Business Machines Corporation Backside power rail integration
US11984401B2 (en) 2021-06-22 2024-05-14 International Business Machines Corporation Stacked FET integration with BSPDN
US11710699B2 (en) * 2021-09-01 2023-07-25 International Business Machines Corporation Complementary FET (CFET) buried sidewall contact with spacer foot
US11804436B2 (en) 2021-09-03 2023-10-31 International Business Machines Corporation Self-aligned buried power rail cap for semiconductor devices
US11764298B2 (en) 2021-09-17 2023-09-19 International Business Machines Corporation VTFET with buried power rails
US11990412B2 (en) 2021-09-29 2024-05-21 International Business Machines Corporation Buried power rails located in a base layer including first, second, and third etch stop layers
US20230143705A1 (en) * 2021-11-09 2023-05-11 International Business Machines Corporation Bottom contact for stacked gaa fet
US20230154783A1 (en) * 2021-11-16 2023-05-18 International Business Machines Corporation Replacement buried power rail
KR20230139702A (ko) * 2022-03-28 2023-10-05 삼성전자주식회사 3차원 반도체 소자 및 그의 제조 방법
EP4283663A1 (en) * 2022-05-24 2023-11-29 Imec VZW A method for forming a stacked transistor device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0373530A (ja) * 1989-08-14 1991-03-28 Oki Electric Ind Co Ltd 配線構造
JPH1126584A (ja) * 1997-07-04 1999-01-29 Sony Corp 半導体装置及びその製造方法
JP2000031268A (ja) * 1998-06-29 2000-01-28 Samsung Electron Co Ltd 狭いチャンネル効果を最小化するトランジスタ―及び浅いトレンチ隔離に埋設される電界透過遮断膜を有するトランジスタ―形成方法
JP2005032839A (ja) * 2003-07-08 2005-02-03 Toshiba Microelectronics Corp 半導体集積回路及びマスターチップ
JP2009531868A (ja) * 2006-03-27 2009-09-03 フリースケール セミコンダクター インコーポレイテッド マルチプレート分離構造を有する半導体デバイス
JP2012529183A (ja) * 2009-06-01 2012-11-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 自己整合ローカル・インターコネクト・プロセスにおけるゲートへの選択的なローカル・インターコネクト
JP2012253148A (ja) * 2011-06-01 2012-12-20 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10240436C1 (de) * 2002-09-02 2003-12-18 Infineon Technologies Ag Bitleitungsstruktur sowie Verfahren zu deren Herstellung
US7538338B2 (en) 2004-09-03 2009-05-26 Unity Semiconductor Corporation Memory using variable tunnel barrier widths
US20060171200A1 (en) 2004-02-06 2006-08-03 Unity Semiconductor Corporation Memory using mixed valence conductive oxides
US7082052B2 (en) 2004-02-06 2006-07-25 Unity Semiconductor Corporation Multi-resistive state element with reactive metal
US7229895B2 (en) 2005-01-14 2007-06-12 Micron Technology, Inc Memory array buried digit line
US20130043452A1 (en) 2011-08-15 2013-02-21 Unity Semiconductor Corporation Structures And Methods For Facilitating Enhanced Cycling Endurance Of Memory Accesses To Re-Writable Non Volatile Two Terminal Memory Elements
US8314024B2 (en) 2008-12-19 2012-11-20 Unity Semiconductor Corporation Device fabrication
US7889571B2 (en) 2008-01-09 2011-02-15 Unity Semiconductor Corporation Buffering systems methods for accessing multiple layers of memory in integrated circuits
US8111572B2 (en) 2007-12-12 2012-02-07 Unity Semiconductor Corporation Disturb control circuits and methods to control memory disturbs among multiple layers of memory
US8270193B2 (en) 2010-01-29 2012-09-18 Unity Semiconductor Corporation Local bit lines and methods of selecting the same to access memory elements in cross-point arrays
US8559209B2 (en) 2011-06-10 2013-10-15 Unity Semiconductor Corporation Array voltage regulating technique to enable data operations on large cross-point memory arrays with resistive memory elements
US7897951B2 (en) 2007-07-26 2011-03-01 Unity Semiconductor Corporation Continuous plane of thin-film materials for a two-terminal cross-point memory
US20130082228A1 (en) 2011-09-30 2013-04-04 Unity Semiconductor Corporation Memory Device Using Multiple Tunnel Oxide Layers
US8003511B2 (en) 2008-12-19 2011-08-23 Unity Semiconductor Corporation Memory cell formation using ion implant isolated conductive metal oxide
US8565003B2 (en) 2011-06-28 2013-10-22 Unity Semiconductor Corporation Multilayer cross-point memory array having reduced disturb susceptibility
US8139409B2 (en) 2010-01-29 2012-03-20 Unity Semiconductor Corporation Access signal adjustment circuits and methods for memory cells in a cross-point array
US9058300B2 (en) 2005-03-30 2015-06-16 Unity Semiconductor Corporation Integrated circuits and methods to control access to multiple layers of memory
US8937292B2 (en) 2011-08-15 2015-01-20 Unity Semiconductor Corporation Vertical cross point arrays for ultra high density memory applications
US20130082232A1 (en) 2011-09-30 2013-04-04 Unity Semiconductor Corporation Multi Layered Conductive Metal Oxide Structures And Methods For Facilitating Enhanced Performance Characteristics Of Two Terminal Memory Cells
US8031509B2 (en) 2008-12-19 2011-10-04 Unity Semiconductor Corporation Conductive metal oxide structures in non-volatile re-writable memory devices
JP4099510B2 (ja) 2005-06-03 2008-06-11 株式会社エヌ・ティ・ティ・ドコモ 通信端末装置
KR101002548B1 (ko) 2007-10-10 2010-12-17 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US8261490B2 (en) 2008-09-06 2012-09-11 Carlson Pet Products, Inc. Quickly slideable and incrementally adjustable barrier
US8264864B2 (en) 2008-12-19 2012-09-11 Unity Semiconductor Corporation Memory device with band gap control
US8027215B2 (en) 2008-12-19 2011-09-27 Unity Semiconductor Corporation Array operation using a schottky diode as a non-ohmic isolation device
US8390100B2 (en) 2008-12-19 2013-03-05 Unity Semiconductor Corporation Conductive oxide electrodes
US8419345B2 (en) 2008-12-30 2013-04-16 Rolls-Royce Corporation Actuator
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US20110031997A1 (en) 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US7960242B2 (en) 2009-04-14 2011-06-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US20110199116A1 (en) 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8115511B2 (en) 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9892972B2 (en) 2009-10-12 2018-02-13 Monolithic 3D Inc. 3D semiconductor device and structure
US9299641B2 (en) 2012-08-10 2016-03-29 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US9385088B2 (en) 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US9953972B2 (en) 2009-10-12 2018-04-24 Monolithic 3D Inc. Semiconductor system, device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9853089B2 (en) 2009-10-12 2017-12-26 Monolithic 3D Inc. Semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9941332B2 (en) 2009-10-12 2018-04-10 Monolithic 3D Inc. Semiconductor memory device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8363443B2 (en) 2010-02-01 2013-01-29 Unity Semiconductor Corporation Circuits and techniques to compensate data signals for variations of parameters affecting memory cells in cross-point arrays
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US9613844B2 (en) 2010-11-18 2017-04-04 Monolithic 3D Inc. 3D semiconductor device having two layers of transistors
US9941319B2 (en) 2010-10-13 2018-04-10 Monolithic 3D Inc. Semiconductor and optoelectronic methods and devices
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
KR101096167B1 (ko) * 2010-12-17 2011-12-20 주식회사 하이닉스반도체 매립워드라인을 구비한 반도체장치 제조 방법
KR20120097663A (ko) * 2011-02-25 2012-09-05 에스케이하이닉스 주식회사 반도체 장치의 매립 비트라인 제조 방법
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9455343B2 (en) 2013-09-27 2016-09-27 Intel Corporation Hybrid phase field effect transistor
US9773888B2 (en) * 2014-02-26 2017-09-26 Micron Technology, Inc. Vertical access devices, semiconductor device structures, and related methods
US10020380B2 (en) * 2015-01-23 2018-07-10 Alpha And Omega Semiconductor Incorporated Power device with high aspect ratio trench contacts and submicron pitches between trenches
US9502414B2 (en) 2015-02-26 2016-11-22 Qualcomm Incorporated Adjacent device isolation
KR102383650B1 (ko) * 2015-06-04 2022-04-06 삼성전자주식회사 반도체 장치
US9905671B2 (en) * 2015-08-19 2018-02-27 International Business Machines Corporation Forming a gate contact in the active area
US9853110B2 (en) * 2015-10-30 2017-12-26 Globalfoundries Inc. Method of forming a gate contact structure for a semiconductor device
US9570395B1 (en) * 2015-11-17 2017-02-14 Samsung Electronics Co., Ltd. Semiconductor device having buried power rail
US10672708B2 (en) * 2015-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Standard-cell layout structure with horn power and smart metal cut
US10475692B2 (en) * 2017-04-07 2019-11-12 Globalfoundries Inc. Self aligned buried power rail
US10170318B2 (en) * 2017-04-28 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10109646B1 (en) * 2017-06-05 2018-10-23 Qualcomm Incorporated Selectively recessing trench isolation in three-dimensional (3D) transistors to vary channel structure exposures from trench isolation to control drive strength

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0373530A (ja) * 1989-08-14 1991-03-28 Oki Electric Ind Co Ltd 配線構造
JPH1126584A (ja) * 1997-07-04 1999-01-29 Sony Corp 半導体装置及びその製造方法
JP2000031268A (ja) * 1998-06-29 2000-01-28 Samsung Electron Co Ltd 狭いチャンネル効果を最小化するトランジスタ―及び浅いトレンチ隔離に埋設される電界透過遮断膜を有するトランジスタ―形成方法
JP2005032839A (ja) * 2003-07-08 2005-02-03 Toshiba Microelectronics Corp 半導体集積回路及びマスターチップ
JP2009531868A (ja) * 2006-03-27 2009-09-03 フリースケール セミコンダクター インコーポレイテッド マルチプレート分離構造を有する半導体デバイス
JP2012529183A (ja) * 2009-06-01 2012-11-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 自己整合ローカル・インターコネクト・プロセスにおけるゲートへの選択的なローカル・インターコネクト
JP2012253148A (ja) * 2011-06-01 2012-12-20 Toshiba Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
CN110800113B (zh) 2023-06-06
JP6865864B2 (ja) 2021-04-28
KR102380098B1 (ko) 2022-03-28
US10586765B2 (en) 2020-03-10
CN110800113A (zh) 2020-02-14
TW201917893A (zh) 2019-05-01
KR20200011035A (ko) 2020-01-31
WO2018237106A1 (en) 2018-12-27
US20180374791A1 (en) 2018-12-27
TWI734919B (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
JP6865864B2 (ja) 埋め込み型電力レール
US10770479B2 (en) Three-dimensional device and method of forming the same
TWI744358B (zh) 三維半導體裝置及其製造方法
US10622051B2 (en) Memory cell and methods thereof
JP7486058B2 (ja) 後面電力供給における交換用埋設電力レール
TWI670762B (zh) 形成相鄰電晶體之閘極之氣隙及在電晶體之主動區上面之閘極接觸的方法
TWI575582B (zh) 形成用於半導體裝置之接觸結構的方法及所產生的裝置
CN110634870B (zh) Sram单元及包括sram单元的存储器和电子设备
TWI285943B (en) Trench capacitor DRAM cell using buried oxide as array top oxide
US20230035444A1 (en) Improved Via Structures
JP4593960B2 (ja) 半導体記憶装置
US10833161B2 (en) Semiconductor device and method
US20230163020A1 (en) Buried power rail after replacement metal gate
US20220367725A1 (en) Multi-gate device and related methods
US20230116857A1 (en) Advanced hybrid 3d stacking for 3d architectural design and layout
US20240186317A1 (en) Nanosheet metal-insulator-metal capacitor
CN117293090A (zh) 自对准晶体管的制备方法、自对准晶体管、器件及设备

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200401

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200401

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210309

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210406

R150 Certificate of patent or registration of utility model

Ref document number: 6865864

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250