JP7486058B2 - 後面電力供給における交換用埋設電力レール - Google Patents

後面電力供給における交換用埋設電力レール Download PDF

Info

Publication number
JP7486058B2
JP7486058B2 JP2021548212A JP2021548212A JP7486058B2 JP 7486058 B2 JP7486058 B2 JP 7486058B2 JP 2021548212 A JP2021548212 A JP 2021548212A JP 2021548212 A JP2021548212 A JP 2021548212A JP 7486058 B2 JP7486058 B2 JP 7486058B2
Authority
JP
Japan
Prior art keywords
substrate
power rail
rail
dummy
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021548212A
Other languages
English (en)
Other versions
JP2022521207A (ja
Inventor
カン,ホヨン
リーブマン,ラース
デヴィリアーズ,アントン
スミス,ジェフリー
チャネムゲーム,ダニエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2022521207A publication Critical patent/JP2022521207A/ja
Application granted granted Critical
Publication of JP7486058B2 publication Critical patent/JP7486058B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49517Additional leads
    • H01L23/49531Additional leads the additional leads being a wiring board
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49541Geometry of the lead-frame
    • H01L23/49562Geometry of the lead-frame for devices being provided for in H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

関連出願の相互参照
本出願は、2019年2月19日に出願された米国仮特許出願第62/807,451号、「Replacement Buried Power-Rail in Backside Power Delivery」に対する優先権の利益を主張するものであり、その全体が参照により本明細書に組み込まれる。
本開示では、一般に、半導体デバイス及び製造プロセスに関する実施形態を説明する。
歴史的に、半導体産業では、トランジスタが1つの平面内に作成され、その上に配線/メタライゼーションが形成されるため、トランジスタは、2次元(2D)回路又は2D製造として特徴付けられている。スケーリングの取り組みにより、2D回路における単位面積あたりのトランジスタ数は大幅に増大した。従来の2Dスケーリングでは投資利益率が急速に低下しているため、半導体産業は、電力性能面積コスト(PPAC)におけるノード間の改善を維持するために、3次元に関心を向けている。トランジスタ密度の改善に垂直軸を利用するための、非常に有望なアプローチは、相補型FET(CFET)として知られる新しいデバイスアーキテクチャである。CFETアプローチでは、N型デバイス及びP型デバイスを有するロジックセルが本質的に折りたたまれ、共通ゲートを共有しながら、P型デバイスなどの2つのデバイスのうちの一方が、N型デバイスなどの2つのデバイスのうちのもう一方のデバイスの上に配置されている。
本開示は、フィン電界効果トランジスタ(FINFET)、ナノ配線、ナノシート、又は相補的に積み重ねられたナノ配線及び/若しくはナノシートのいずれかを組み込んだメモリ及びロジックの両方のデバイス製造に関する。標準ロジックセル内では、デバイス(トランジスタなど)への電力は、バックエンドオブライン(BEOL)金属層の残りの電力供給ネットワーク(PDN)に接続する電力レールを介して、ソース/ドレイン接点に供給される。電力レールは、典型的に、東西方向と通常呼ばれる方向で、隣接するセルを横切って走っている。電力レールは複数のセルに電力を供給する必要があるため、電力レールは、多くの場合、セル内で使用される標準ルーティングトラック/信号ラインと比較して、はるかに大きなサイズ(例えば、より大きな幅)で実装される。典型的には、通常のルーティングラインと比較した電力レールのサイズ差は3~4倍の大きさになり得、したがって電力レールは、セル設計内でかなりの面積を使用する。電力レールのより大きな限界寸法は、IR降下及びデバイス内で電力レールを供給する必要がある周波数を含む適切な配電ターゲットを維持するために、レールを介して適切な抵抗を維持するのに必要である。
電力レールにおける同一又は増大した総金属体積を効果的に保ちながら、より小さなトップダウン断面(例えば、より狭い幅の金属ライン)を可能にするために、電力レールのサイズをより深くする(例えば、アスペクト比をより高くする)ことによって電力レールの横方向(幅)のサイズを低減するアプローチが考案されている。アスペクト比の増大により、電力レールにわたる抵抗を低減し、それによってIR降下の改善を維持し、電力レールに供給する必要のある周波数を低減することができる。最も低レベルの金属で電力レールのアスペクト比を単純に増大させることは、信号ラインをデバイスに接続するためにより大きなアスペクト比のビアを駆動するか(例えば、ビア抵抗がより高くなる)、又は信号ラインにも、BEOLのトラック間の静電容量増大を招きかねない同様のアスペクト比が必要となるため、多くの場合困難である。1つのアプローチは、物理デバイス(例えば、トランジスタ)の下に電力レールを「埋設する」か又は配置することを含み、電力レールのアスペクト比は、BEOL内の信号ラインとは無関係に増大させることができ、これにより、BEOLの抵抗又は静電容量のいずれかを介していかなる悪影響も与えることなく、電力レールを通して抵抗を大幅に下げる手段が提供される。この方法では、電力は、トランジスタセルと同一平面又はその上のいずれかに配置された電力レールを備えた従来の配線構造とは反対に、ボトムアップアプローチによって金属接点に供給される。
物理デバイスの下に電力レールを配置又は埋設することにより、セル面積を低減することが可能になる。例えば、一般に、セルは、標準セルレイアウトライブラリにおいて固定高さの可変幅セルとして実現される。固定高さにより、セルを行に配置することができ、且つ自動レイアウト設計のプロセスが容易になる。行の方向は、東西方向と呼ばれる方向であり、東西方向に垂直な方向は、南北方向と呼ばれる。この命名規則では、M0には通常、東西方向に走るラインが含まれ、一方、M1は南北方向に走るラインを有する。後続の金属層は、先行する金属層に対して垂直に走る。
物理デバイスの下に電力レールを埋設することにより、セル高さを低減することが可能になる。慣例により、所与の標準セル高さは、物理的な寸法ではなく、金属トラックの数で報告される。例えば、6トラック(6T)セル高さは、6金属ピッチである。金属ピッチが40nmの場合、6Tセル高さは(その設計で実際に使用されている金属トラックの数に関係なく)240nmである。様々な標準セル設計では、多かれ少なかれトラックを使用し得るが、ロジックセルは通常、トランジスタの信号配線の第1段階を完了するために、M0上に少なくとも4つの信号ルーティングトラックを必要とする。電源要件では、通常、電力レールを3つの金属ハーフピッチに相当する幅に制限して、対応するセルを12ハーフピッチ(高さ6ピッチ又は6T)にする。電力レールを埋設することにより、それぞれのセル高さを4T以下に低減することが可能になる。
東西方向に延在する同じ行におけるセルの、Vss又はVddのいずれかへの接続は、共通の電力レールに沿って行われ得る。さらに、2つの隣接する行の、Vss又はVddのいずれかへの接続は、共通の電力レールに沿って行われ得る。一実施例では、電力レール(例えば、Vdd)は、標準セルの上部の行と標準セルの下部の行との間に配置されている。電力レールは、東西方向に走っている。上部の行のセルは北向き、下部の行のセルは南向きであり、通常、例えば、Vdd電源として電力レールを使用することができる。電力レールは、上部の行の北向きセルによってタップされ得、下部の行の隣接する南向きセルによって同様にタップされ得る。大きな非埋設レールの場合、レール上に両方の接続を行うのに十分なスペースがあるため、これに対応でき、これらの接続は、ビアがレールを介して下の金属ドレイン(メタライゼーション用のチャネル又はトンネルなど)に転送されるトップダウン統合アプローチから行われる。したがって、リソグラフィ又はパターニングのいずれかで行われたアライメントは、エッチングプロセスによって直接的に転送される。しかしながら、埋設レールの実施形態に関して、抵抗仕様を満たすために必要なアスペクト比の大きさに応じて、電力レールは、シャロートレンチアイソレーション(STI)内か、又はバルクシリコン及びSTI内に一緒にか、のいずれかに収納することができる。交換用金属ドレインの酸化物充填を介して接続を確立し、レールに物理的に隣接するSTIではなく金属レール上に着地させることは、実行が難しい場合がある。プロセスの次のステップは、埋設レールに接続した後に金属ドレインをメタライズすることになるため、いずれかの配置エラーがあると、レールに追加の金属充填が生じることになる。逆に、電力レールへの接続が不十分になされた場合の配置エラーは、特に、レールと金属ドレインとの間の接続のサイズが12nm未満の設計では、かなりの抵抗ペナルティをもたらす。
南北方向の2つの隣接するセルからの2つのソース/ドレイン接点が、共通の電力レールからプルダウンされている場合、両方の標準セル間のソース/ドレイン接点又は電極は実際に共有され、(a)ビア抵抗を改善するために直径を増大させるビア接続の配置と、(b)電力レールの幅内の任意の場所への配置とが可能になり、したがってエッジ配置エラー(EPE)が緩和される。
アクティブデバイスの下に電力レールを埋設する統合には、いくつかの追加の課題が発生する。これらの課題はまた、使用されるレールのサイズ、埋設レールが実装される統合プロセスシーケンス内の場所、シリコン若しくはSiGeフィン構造に近接した埋設電力レールの配置(又はナノシートゲートオールアラウンド(GAA)プロセスで使用されるSi/SiGeフィン超格子)、これらのレールがタップされるところの密度及び場所(単一の標準セル内と、南北方向の2つの隣接するセルの間の両方)、レールのメタライゼーション、及び後続の金属ドレインへの接続に必要な任意の関連するライナーと共に埋設レールに使用される金属、並びに統合においてどこでどのように埋設電力レールを作成するかについての熱制限、を含む、いくつかの要因の影響を受ける。
本明細書の例示的な実施形態は、主に、埋設電力レールのアプリケーションを説明することに着目している。ただし、このアプリケーションは非限定的である。本明細書の実施形態は、物理デバイスの下に存在するルーティングライン又はローカル相互接続又はセル間相互接続ラインなどの任意の後面配線、並びにウェーハの後面から直接的に電力レールに電力供給する埋設配電ネットワークをカバーするように、拡張することができる。
現在、物理デバイスの下端部上で行われる任意の配線をセルフアラインする方法はない。これは、配線に、埋設電力レール、メモリ用埋設ワードライン、埋設相互接続ライン、埋設ルーティングライン、ロジック用埋設セル間配線ラインなどが含まれるかどうかには関係ない。
本明細書に開示されるようなセルフアライメントの複数の方法を可能にすることにより、ロジック設計用のセル高さを現在の6.5Tから5T又は更にそれ以下に、大幅にスケーリングする能力を提供し、相補型FETスタックナノシートアーキテクチャなどの他のスケーリングコンセプトと組み合わせて実装した場合、4T又は更に3Tのセル高さが、埋設電力レールを用いて実現可能になる。これはまた、最終的には、セル自体を互いに積み重ねることができるメカニズムを提供する。いくつかの実施例では、相補的に積み重ねられたナノシート(PMOSオーバーNMOS)が開示されている。そのような積み重ねられたデバイスは、配置又は配線及び/又は他の配線が物理デバイスの下、複数の物理デバイス間、並びに現在メタライゼーション用の方法であるように、物理デバイスの上、のいずれかで行われ得る複数のセルスタッキングに拡張するための、埋設後面配線の本明細書の実施形態と共に使用され得る。
当然のことながら、本明細書で説明される異なるステップの議論の順序は、明確にするために提示されている。一般に、これらのステップは、任意の好適な順序で実施され得る。加えて、本明細書における様々な特徴、技法、構成等の各々が本開示の様々な箇所で説明されている場合があるが、それらの概念の各々は、互いに独立して又は互いに組み合わされて実行され得ることが意図されている。それに応じて、本発明は、多くの異なる方法で具現化及び検討することができる。
本開示の態様は、半導体デバイスを提供する。半導体デバイスは、絶縁トレンチ内に形成され、且つ任意選択的にバルクシリコン内に延在するダミー電力レールを含む。ダミー電力レールは、最終的な導電性材料とは異なる材料を使用するプレースホルダである。ダミー電力レールは、ダミー電力レールをHKMG(高誘電率メタルゲート)、ゲート電極、更にはソース/ドレイン電極から分離するように、誘電体キャップ、又はSTI酸化物の2回目の堆積によってカバーすることができる。さらに、誘電体キャップに開口部を形成し、犠牲材料を充填して、ソース/ドレイン電極を電力レールに選択的に接続することができる。トランジスタデバイスの高熱アニーリングプロセスからの損傷に耐えるように、犠牲材料又はダミー材料が選択され得る。トランジスタデバイスが形成され、且つ基板の前面上で少なくともいくつかの金属配線が完了した後、ダミー電力レールは基板の後面からアクセスされ、所望の導電性材料と交換される。
本開示の態様は、半導体デバイスを製造するための方法を提供する。この方法は、後面が前面の反対側にある、前面及び後面を有する基板にアクセスすることを含む。ダミー電力レールは、基板の前面からアクセスすることによって基板上に形成される。換言すれば、パターニング、エッチング、及び堆積プロセスは、基板の前面から生じる。ダミー電力レールは、トランジスタデバイスのレベルの下に配置される。すなわち、ダミー電力レールの全部又は一部が下に配置される。トランジスタデバイスは、ダミー電力レールと同様に、前面からアクセスすることによって基板上に形成される。配線層は、ダミー電力レールに接続された導電性構造を含む基板の前面上に形成される。次いで、基板の後面の一部を取り除くことにより、ダミー電力レールのカバーが外される。これは、化学機械研磨、マスクベースのエッチング、又はブランケットエッチングによって行われ得る。次いで、基板の後面からアクセスすることにより、ダミー電力レールを導電性材料で交換することによって、電力レールが形成される。例えば、ダミー電力レール材料は、選択的エッチングによって除去され得る。次に、導電性材料が、選択的堆積、ダマシン充填、又は他の堆積方法によって追加され得る。バルクシリコン内に比較的深くダミー電力レールを形成することによって、ダミー材料が交換用構造物用のセルフアライメントを提供するため、後面からのアクセスがより簡単又はより正確になり得る。
当然のことながら、本明細書で説明される異なるステップの議論の順序は、明確にするために提示されている。一般に、これらのステップは、任意の好適な順序で実施され得る。加えて、本明細書における様々な特徴、技法、構成等の各々が本開示の様々な箇所で説明されている場合があるが、それらの概念の各々は、互いに独立して又は互いに組み合わされて実行され得ることが意図されている。それに応じて、本発明は、多くの異なる方法で具現化及び検討することができる。
この概要のセクションは、本開示又は特許請求の範囲に記載される本発明の全ての実施形態、及び/又は漸増的に新規な態様を指定するものではないことに留意されたい。代わりに、この概要は、異なる実施形態及び従来の技法に対する新規性の対応する点の予備的な議論のみを提供する。本発明及び実施形態の更なる詳細及び/又は可能な観点について、読者は、以下で更に議論されるような本開示の詳細な説明のセクション及び対応する図面を参照されたい。
本開示の態様は、半導体デバイスを製造するための方法を提供する。方法は、基板の第2の側面とは反対側にある基板の第1の側面からアクセスすることによって、基板上にダミー電力レールを形成することを含む。さらに、方法は、基板の第1の側面にアクセスすることによって、基板上にトランジスタデバイス及び第1の配線層を形成することを含む。ダミー電力レールは、基板の第1の側面のトランジスタデバイスのレベルの下に配置される。次いで、方法は、基板の第1の側面とは反対側にある基板の第2の側面からアクセスすることによって、ダミー電力レールを導電性電力レールと交換することを含む。
本開示の態様はまた、半導体デバイスを提供する。半導体デバイスは、基板の第1の側面上に形成されたトランジスタデバイス及び第1の配線層を含み、第1の側面とは反対側にある基板の第2の側面上に形成された第2の配線層を含む。さらに、半導体デバイスは、トランジスタデバイス及び第1の配線層の下の基板に形成された導電性レールを含む。導電性レールは、基板の第2の側面上の第2の配線層を、トランジスタデバイス及び基板の第1の側面上の第1の配線層と相互接続する。導電性レールは、少なくとも1つの導電性材料(例えば、銅)を含む導電性スタックで形成され、熱安定性の閾値温度は、トランジスタデバイス及び第1の配線層のプロセス温度よりも低い。例えば、前記導電性レールは、熱安定性の閾値温度が700℃未満である少なくとも1つの導電性材料を含む導電性スタックで形成されてもよい。いくつかの実施例では、第2の配線層は、電力供給ネットワークを形成し、導電性レールは、電力供給ネットワークからトランジスタデバイスに電力を伝達するための電力レールである。
本開示の態様は、添付図面と共に読まれるとき、以下の詳細な説明から最もよく理解される。産業界での標準的慣行に従い、各種の特徴が一定比率では描かれていな点を注記しておく。事実、各種の特徴の寸法は議論を分かり易くすべく自在に増減されてよい。
本開示のいくつかの実施形態による半導体デバイスを示す図である。 半導体デバイスを製造するためのプロセス例の概要を示すフローチャートである。 本開示の一実施形態による、基板上に埋設電力レールを形成するためのプロセスの一例を示す図である。 本開示の一実施形態による、基板上に埋設電力レールを形成するためのプロセスの一例を示す図である。 本開示の一実施形態による、基板上に埋設電力レールを形成するためのプロセスの一例を示す図である。 本開示の一実施形態による、基板上に埋設電力レールを形成するための別のプロセスの一例を示す図である。 本開示の一実施形態による、基板上に埋設電力レールを形成するための別のプロセスの一例を示す図である。 本開示の一実施形態による、基板上に埋設電力レールを形成するための別のプロセスの一例を示す図である。 本開示の一実施形態による、基板上に埋設電力レールを形成するための別のプロセスの一例を示す図である。
以下の開示は、提供される主題の異なる特徴を実施するための多くの異なる実施形態又は実施例を提供する。本開示を単純にするために、構成要素及び構成の特定の例について以下に説明する。当然のことながら、これらは単なる例に過ぎず、限定することを意図するものではない。例えば、以下に続く説明における第2の特徴の上方又は上での第1の特徴の形成は、第1の特徴と第2の特徴とが直接接触して形成される実施形態を含んでもよく、また、第1の特徴と第2の特徴とが直接接触し得ないように、第1の特徴と第2の特徴との間に追加の特徴が形成され得る実施形態を含んでもよい。加えて、本開示は、様々な例において参照番号及び/又は文字を繰り返すことがある。この繰り返しは、簡略化及び明確化を目的とするものであり、それ自体、考察される様々な実施形態及び/又は構成間の関係を決定付けるものではない。
さらに、「下方の(beneath)」、「下の(below)」、「下部の(lower)」、「上方の(above)」、「上部の(upper)」等、空間的関係を指す用語は、本明細書で図示するように、ある要素又は特徴と、別の要素又は特徴との関係の記述を容易にするために用いられてよい。空間的に相対的な用語は、図面に示されている向きに加えて、使用中又は動作中のデバイスの異なる向きを包含することを意図している。装置は、それ以外に方向付けられ(90度回転されるか又は他の向きにある)てもよく、本明細書で使用される空間的に相対的な記述子もそれに応じて解釈することができる。
本開示の態様は、後面電力供給における交換用埋設電力レールのための技術を提供する。この技術は、第1の側面(前面とも呼ばれる)及び第2の側面(後面とも呼ばれる)を有する基板(例えば、ウェーハ基板)上に半導体デバイスを製造するために使用される。いくつかの実施形態では、トランジスタ及び埋設されたダミー電力レールは、基板の第1の側面にアクセスし、且つ基板の第1の側面上で製造プロセスを実行することによって形成される。埋設されたダミー電力レールは、(第1の側面が上を向いている場合)トランジスタのレベルの下に配置される。さらに、ダミー電力レールをトランジスタに接続して、トランジスタを相互接続するために、基板の第1の側面上に配線が形成される。次いで、埋設されたダミー電力レールが1つ以上の導電性材料に交換されて、実際の電力レールが形成される。ダミー電力レールを実際の電力レールに交換することは、基板の第2の側面からアクセスすることによって実行される。いくつかの実施例では、後面電力供給ネットワークが第2の側面上に形成され得ることにも留意されたい。
図1は、本開示のいくつかの実施形態による半導体デバイス100を示す図である。半導体デバイス100は、基板上に形成され、基板の後面からダミー電力レール(図示せず)を交換することによって形成される埋設電力レール130を含む。半導体デバイス100はまた、基板の後面に形成された後面電力供給ネットワーク(BS-PDN)140を含み、埋設電力レール130に電力を供給する。埋設電力レール130は、トランジスタなどのアクティブデバイス110に電力を供給する。アクティブデバイス110は、基板の前面上で処理することによって形成される。半導体デバイス100はまた、アクティブデバイス110を相互接続するための配線120を含み得る。配線120は、基板の前面上で処理することによって形成され得る。
半導体デバイス100は、任意の適切なデバイス、例えば、半導体チップ(又はダイ)、半導体ウェーハ上に形成された複数の半導体ダイを有する半導体ウェーハ、半導体チップのスタック、パッケージ基板上に組み立てられた1つ以上の半導体チップを含む半導体パッケージなどであり得ることに留意されたい。
本開示のいくつかの態様によれば、アクティブデバイス110は、ロジック回路、アナログ回路、メモリデバイスなどの任意の適切なデバイスであり得る。アクティブデバイス110は、適切に堆積及びパターン化された層に形成される。いくつかの実施形態では、ロジック回路は、標準セルライブラリからのインバータセル、NANDセル、NORセルなどの標準セル(SC)を使用して実装される。標準セルの各々は、1つ以上の動作を実行するように構成されている。一実施例では、インバータセルは、ロジック反転動作を実行するように構成されるため、インバータセルは、入力の反転されたロジック値を有する出力を生成する。
埋設電力レール130は、物理デバイス(例えば、アクティブデバイス、トランジスタ)の下に形成されて、セル長さを低減することを可能にする。例えば、一般に、標準セルライブラリの標準セルは、固定高さ、可変幅のセルとして実現される。固定高さにより、セルを行に配置することができ、自動レイアウト設計のプロセスが容易になる。いくつかの実施例では、行の方向は、東西方向と呼ばれる方向であり、東西方向に垂直な方向は、南北方向と呼ばれる。
物理デバイスの下に電力レールを埋設することにより、標準セルのセル高さを、電力レールとルーティングトラックの組合せではなく、ルーティングトラック又は信号ラインの数で定義することができる。物理デバイスの下に電力レールを埋設することにより、電力レールの抵抗がセル高さから切り離され、より積極的なエリアスケーリングが可能になる。いくつかの実施例では、セル高さは、たとえ実際のルーティングトラックの数が同じであっても、この概念を組み込むことにより、(電力レールの幅がルーティングトラックラインの幅の2倍又は3倍に等しいと仮定して)6.0~6.5のルーティングトラック(6.5T)のセル高さから、5.0のルーティングトラックのセル高さに簡単にスケールダウンすることができる。
図1の実施例では、VDD及びVSS用の埋設電力レールが交互に並列に配置され、それぞれに東西方向に延長されている。いくつかの実施形態では、シャロートレンチアイソレーション(STI)を使用して、アクティブデバイスを分離する。埋設電力レールは、STI内、又はバルクシリコン及びSTI内のいずれかに一緒に収納でき、埋設電力レールは、アクティブデバイスを形成する層の平面の下に埋設される。いくつかの実施形態では、レール開口部は、STIに、バルクシリコン内まで形成され得、次いで、レール開口部は、犠牲絶縁体材料(ダミー材料とも呼ばれる)で充填され得る。次に、いくつかの実施形態では、基板の前面上でのプロセス後、基板を後面から処理して、ダミー電力レールを導電性材料と交換して、実際の電力レールを形成することができる。詳細なプロセスを、図2、図3A~図3C、及び図4A~図4Dを参照して説明する。
いくつかの実施形態では、標準セルの行もまた東西方向にある。電力レールは、ルーティングトラックの幅の約2倍又は3倍など、通常のルーティングトラックよりも比較的広い幅を有し得る。いくつかの実施例では、標準セルの隣接する行を逆方向に配置して、1つの電力レールを共有することができる。例えば、第1の行の標準セル(例えば、図1のSC1~SC3)は、南北方向(例えば、北にVDD接続、南にVSS接続)にあり、第2の行の標準セル(例えば、図1のSC4~SC6)は、南北方向(例えば、南にVDD接続、北にVSS接続)にある。第1の行が第2の行の北にある場合、VSS電力レールは、第1の行と第2の行との両方の標準セルにVSSを提供することができる。
さらに、図1の実施例では、BS-PDN140は、基板の後面から電力レールに電力を供給するように構成されている。いくつかの実施例では、BS-PDN140は、電源(図示せず)から電力を受け取る。例えば、電源は、VDD端子とVSS端子とを有する。VDDは、5V、3V、1.5Vなどの電源の高電圧レベル側を表すために使用され、VSSは、実施例のグラウンドなど、電源の低電圧レベル側を表すために使用される。BS-PDN140は、電源のVDD端子をVDD埋設電力レールに電気的に結合し、且つ電源のVSS端子をVSS埋設電力レールに電気的に結合するように適切に構成されている。
本開示の一態様によれば、半導体デバイス100の動作中、トランジスタは、電力レールから電流を引き出す。所与の電力レール抵抗に対して、電流をトランジスタに供給できる距離は、関連する電圧降下(IR降下と呼ばれる)によって制限されて、回路の性能を低下させる。高度な技術のノードで電力レール抵抗が増大するにつれて、電力をより頻繁に(例えば、12個の金属トラックごとに)電力レールに供給する必要がある。電力が前面から電力レールに供給されると、電力供給ネットワークは貴重な配線リソースを消費し、配線の輻輳を引き起こし、最終的にルーティングの使用率(すなわち、標準セルを配置及びルーティングできる密度)を制限する場合がある。
図1の実施例では、電力は、BS-PDN140によって後面から電力レールに供給され、信号のルーティングには、基板の前面に形成された配線120を利用することができる。したがって、図1の実施例では、BS-PDN140は貴重な配線リソースを消費したり、配線の輻輳を引き起こしたり、又は最終的にルーティングの使用率を制限したりすることはない。例えば、基板の表面に形成された配線120は、信号配線に使用することができ、基板の後面上のBS-PDN140は、電力レールに電力を供給するために使用される。次いで、BS-PDN140は、ウェーハの後面上に完全に構築されることによって、信号配線から空間的に分離される。いくつかの実施例では、BS-PDN140は、シリコン貫通ビア(μTSV)を使用してBPR130に接続され得る。
一般に、IC製造には、フロントエンドオブライン(FEOL)プロセスと呼ばれるプロセスの第1の部分と、バックエンドオブラインプロセスと呼ばれるプロセスの第2の部分が含まれる。FEOLプロセスは、トランジスタ及びコンデンサなどの個々のデバイスを基板にパターン化するために使用される。FEOLは、金属相互接続層の堆積までの全てをカバーする(ただし、これは含まれない)。BEOLプロセスは、個々のデバイスをメタライゼーション層に形成された配線で相互接続するために使用される。しかしながら、埋設電力レールは、アクティブデバイスの下に配置され、いくつかの関連する実施例では、電力レールは、トランジスタのソース/ドレイン上のアニールプロセスの前に(例えば、アクティブデバイスが完全に形成される前に)形成及びメタライズされる。関連する実施例では、埋設電力レールは、トランジスタのソース/ドレイン上のアニールプロセスの前に形成及びメタライズされ、金属は、酸化物に対して非常に良好な熱特性を有する必要がある。例えば、金属は、700℃~1100℃の範囲であり得るスパイクアニール温度、並びに使用される膜の任意の堆積温度の下で安定的であることができるべきである。関連する実施例では、銅(<450℃の熱安定性)、コバルト、アルミニウムなどの金属の使用を排除できる。関連する実施例では、埋設電力レールをメタライズするために、ルテニウムが選択可能である。
本開示のいくつかの態様は、FEOLプロセスが完了する(アクティブデバイスが完全に形成される)後まで、BPRメタライゼーションを遅延させる処理フローを提供する。次いで、熱安定性のためのより低い閾値温度を有する導電性材料が使用され得る。したがって、いくつかの実施形態では、銅ダマシンプロセスを使用して、銅(熱安定性の閾値温度が450℃である)で電力レールを形成することができる。銅は、一般に、ルテニウムよりも安価で、ルテニウムよりも低い抵抗率を有する。処理フローはまた、電力レール抵抗制御を達成し、BS-PDNのBPRとの正確なファインピッチアライメントを容易にすることができる。
図2は、基板(例えば、ウェーハ基板)上に半導体デバイス100などの半導体デバイスを製造するためのプロセス例を概説するフローチャートを示している。プロセスは、S201から開始し、S210に進行する。
S210において、基板の第1の側面(前面)からアクセスすることによって、基板上にダミー電力レールが形成される。いくつかの実施形態では、アクティブデバイスを分離するためにシャロートレンチアイソレーション(STI)が形成される。ダミー電力レールは、STI内、又はバルクシリコン及びSTI内のいずれかに一緒に収納でき、ダミー電力レールは、アクティブデバイスを形成する層の平面の下に埋設される。いくつかの実施形態では、レール開口部は、STI内に、バルクシリコン内に至るまで形成され得る。いくつかの実施形態では、レール開口部は、アクティブデバイスに対してセルフアライメントされる。例えば、アクティブデバイスを形成するためのフィン構造を使用して、レール開口部をガイドすることができる。一実施例では、レール開口部は、後のウェーハ薄化プロセスに関連する深さまで基板内に形成され得る。レール開口部は、アモルファスポリ、窒化物、カーボンなどの犠牲絶縁体で充填され、ダミー電力レールを形成することができる。いくつかの実施例では、犠牲絶縁体は、実施例ではレール開口部の底部に充填されるエッチング停止層又は研磨停止層を含む。参照によりその全体が本明細書に組み込まれる、2018年6月18日に出願された、出願人の同時係属中の出願第16/011,377号には、埋設電力レールを形成するための技術が開示されている。この技術を使用すると、導電性材料の代わりに犠牲絶縁体を使用して、ダミー電力レールを形成することができる。
S220において、前面からアクセスすることによって、アクティブデバイス及び前面金属スタック(第1の配線とも呼ばれる)が基板上に形成される。いくつかの実施形態では、前面処理が完了され得る。前面処理には、基板の前面上で処理するFEOLプロセス及びBEOLプロセスなど、基板の前面上にアクティブデバイス及びフルメタルスタックを形成するプロセスが含まれる。
S230において、基板は、基板の後面から薄化される。いくつかの実施形態では、基板の前面は適切に保護され、基板は後面処理のために裏返しされる。一実施形態では、バルクウェーハ材料の一部が除去されてダミー電力レールが露出する(カバーされない)ように、後面が薄化される。(図3A~図3Cを参照して詳細に説明される)一実施例では、化学機械研磨(CMP)プロセスを使用して、バルクウェーハ材料の一部を後面から除去してダミー電力レールを露出させる。別の実施例では、エッチングプロセスを使用して基板を薄化し、ダミー電力レールを露出させる。別の実施例では、研削プロセスを使用して基板を薄化し、ダミー電力レールを露出させる。(図4A~図4Dを参照して詳細に説明される)別の実施例では、CMPプロセス及びエッチングプロセスの両方が、ダミー電力レールを露出するために使用される。
S240において、ダミー電力レールは、基板の後面からアクセスすることによって交換される。一実施形態では、ダミー電力レールは除去される。一実施例では、適切なエッチングプロセスを使用して、ダミー電力レールの犠牲絶縁体材料を(基板を大幅に除去することなく)除去し、後面における電力レール用のレール開口部を露出させる。次いで、電力レールのメタライゼーションが、後面からダマシンプロセスによって実行される。一実施例では、レール開口部は、レール開口部を過剰に充填する金属スタック(例えば、バリア層及び銅層)を用いて、後面から充填される。次に、CMPプロセスを実行して、後面における金属スタックの表土部分を除去し、金属スタックの沈んだ部分をレール開口部に保持する。
S250において、後面電力供給ネットワークが形成される。このプロセスでは、基板の後面に絶縁層及び金属層を形成し続けて、後面電力供給ネットワークを形成する。その後、プロセスはS299に進み、終了する。
図3A~図3Cは、本開示の一実施形態による、基板(例えば、ウェーハ基板)上の埋設電力レール用の交換プロセスの一例を示している。
図3Aは、前面処理後のウェーハ基板の簡略化された断面300Aを示している。図3Aの実施例では、ダミー電力レール331がウェーハ基板に形成され、アクティブデバイス(図示せず)及び複数の金属層(単層が示されている)の配線320が、ウェーハ基板の前面上に形成される。配線320は、アクティブデバイス間を相互接続し、アクティブデバイスとの間で信号を送受信する。
図3Aの実施例では、ダミー電力レール331は、深いトレンチ(レール開口部)に形成されている。深いトレンチは、犠牲絶縁体材料(例えば、アモルファスポリ、窒化物、カーボン)で充填されている。いくつかの実施例では、犠牲絶縁体材料は、深いトレンチの底部に研磨ストッパ材料を含む。一実施例では、深いトレンチは、500ナノメートルよりも大きい深さを有する。別の実施例では、深いトレンチは、1マイクロ~数百ミクロンの範囲の深さを有する。
図3Bは、CMPプロセス後のウェーハ基板の簡略化された断面300Bを示している。いくつかの実施例では、CMPプロセスがウェーハ基板の後面上に適用され、次いで、CMPプロセス前の基板301A及びCMPプロセス後の基板301Bによって示されるように、ダミー電力レール331が露出するまでウェーハ材料が後面から除去される。一実施例では、CMPプロセスは、研磨ストッパ材料が露出するまで適用され得る。
図3Cは、ダミー電力レール331が実際の電力レール330によって交換された後のウェーハ基板の簡略化された断面300Cを示している。一実施例では、ダミー電力レール331が露出された後、適切なエッチング剤を用いたウェットプロセスなどの適切なエッチングプロセスが適用されて、ダミー電力レールを(基板材料を大幅に除去することなく)基板の後面から除去し、それによってレール開口部が後面から開口する。
さらに、レール開口部は、後面から金属で充填される。例えば、レール開口部は、レール開口部を過剰に充填する金属スタック(例えば、バリア層及び銅層)を用いて後面から充填される。次に、CMPプロセスを実行して、金属スタックの表土部分を除去し、金属スタックの沈んだ部分をレール開口部に保持する。その後、プロセスは、後面電力供給ネットワークの層を形成し続けることができる。
図3A~図3Cの実施例では、ウェーハのアライメントを必要としないことに留意されたい。
図4A~図4Dは、本開示の一実施形態による、基板(例えば、ウェーハ基板)上の埋設電力レール用の別の交換プロセスの一例を示している。
図4Aは、前面処理後のウェーハ基板の簡略化された断面400Aを示している。図4Aの実施例では、ダミー電力レール431がウェーハ基板に形成され、アクティブデバイス(図示せず)及び複数の金属層(単層が示されている)の配線420が、ウェーハ基板の前面上に形成される。配線420は、アクティブデバイス間を相互接続し、アクティブデバイスとの間で信号を送受信する。
図4Aの実施例では、ダミー電力レール431は、シャロートレンチ(レール開口部)に形成されている。シャロートレンチは、犠牲絶縁体材料(例えば、アモルファスポリ、窒化物、カーボン)で充填される。いくつかの実施例では、犠牲絶縁体材料は、シャロートレンチの底部にエッチングストッパ材料を含む。一実施例では、シャロートレンチは、50ナノメートル~500ナノメートルの範囲の深さを有する。別の実施例では、シャロートレンチは、数十ナノメートル~数百ナノメートルの範囲の深さを有する。
図4Bは、CMPプロセス後のウェーハ基板の簡略化された断面400Bを示している。いくつかの実施例では、CMPプロセスがウェーハ基板の後面上に適用され、次いで、CMPプロセス前の基板401A及びCMPプロセス後の基板401Bによって示されるように、ウェーハ材料が後面から除去される。一実施例では、ウェーハ基板が特定の厚さに薄化され、且つダミー電力レールが露出しないようになったとき、CMPプロセスは停止され得る。
図4Cは、エッチングプロセス後のウェーハ基板の簡略化された断面400Cを示している。図4Cの実施例では、ウェーハ基板が特定の厚さに薄化された後、リソグラフィプロセスが実行されて、ダミー電力レールとアラインされたエッチングマスクが形成される。次いで、エッチングプロセスが実行されて、ウェーハがエッチングされ、ダミー電力レールに着地させることができる。エッチングプロセスは、微細シリコン貫通ビア432を生成する、微細シリコン貫通ビア(μTSV)エッチングと呼ばれる。一実施例では、μTSVエッチングは、エッチングストッパ材料が露出されるまで適用され得、その後、ダミー電力レール431が露出される。
図4Dは、ダミー電力レール431が除去され、実際の電力レールが形成された後のウェーハ基板の簡略化された断面400Dを示している。一実施例では、ダミー電力レールが露出された後、適切なエッチング剤を用いたウェットプロセスなどの適切なエッチングプロセスが適用されて、ダミー電力レールを(基板材料を大幅に除去することなく)基板の後面から除去し、それによってレール開口部が後面から開口する。
さらに、レール開口部は、後面から金属で充填される。例えば、レール開口部は、レール開口部を過剰に充填する金属スタック(例えば、バリア層及び銅層)を用いて後面から充填される。次に、CMPプロセスを実行して、金属スタックの表土部分を除去し、金属スタックの沈んだ部分をレール開口部に保持する。その後、プロセスは、後面電力供給ネットワークの層を形成し続けることができる。
図4A~図4Dの実施例は、ウェーハのアライメントに依存し得ることに留意されたい。
前述の説明では、プロセスシステムの特定の形状、並びにそこで使用される様々な構成要素及びプロセスの説明など、特定の詳細について説明してきた。しかしながら、本明細書における技術は、これらの特定の詳細から逸脱する他の実施形態で実施することができ、そのような詳細は、説明のためのものであり、限定のためのものではないことを理解されたい。本明細書で開示される実施形態について、添付の図面を参照して記載してきた。同様に、説明の目的で、詳細な理解を提供するために特定の数字、材料及び構成について述べてきた。しかしながら、実施形態は、そのような具体的詳細がなくても実施可能である。実質的に同じ機能的構成を有する構成要素は、同様の参照符号によって示され、したがって、冗長な説明は省略される場合がある。
様々な実施形態の理解を支援するために、様々な技術を複数の個別の動作として説明してきた。説明の順序は、これらの動作が必ず順序に依存することを意味すると解釈されるべきではない。実際に、これらの動作は、提示した順序で実行される必要はない。説明された動作は、説明された実施形態と異なる順序で実行され得る。追加の実施形態では、様々な追加の動作を実行することができ、且つ/又は説明した動作を省略することができる。
本明細書で使用される「基板」又は「ターゲット基板」は、本発明に従って処理される物体を総称して指す。基板は、デバイス、特に半導体又は他の電子デバイスの任意の材料部分又は構造を含むことがあり、例えば半導体ウェーハ、レチクルなどのベース基板構造、又は薄膜などのベース基板構造上の若しくはそれに重なる層であり得る。したがって、基板は、いかなる特定のベース構造、下層又は上層、パターン付き又はパターンなしにも限定されず、むしろ任意のそのような層若しくはベース構造並びに層及び/又はベース構造の任意の組合せを含むことが企図されている。説明は、特定の種類の基板を参照し得るが、これは、例示のみを目的とするものである。
また、当業者であれば、本発明の同じ目的を達成しながら、上記で説明した技術の動作に対してなされる多くの変形形態が存在し得ることを理解するであろう。そのような変形形態は、本開示の範囲に包含されることが意図されている。したがって、本発明の実施形態の前述の説明は、限定することを意図したものではない。むしろ、本発明の実施形態に対するいかなる限定も、以下の特許請求の範囲に提示されている。

Claims (14)

  1. 半導体デバイスを製造するための方法であって、
    基板の第2の側面とは反対側にある前記基板の第1の側面からアクセスすることによって、前記基板上にダミー電力レールを形成することと、
    前記基板の前記第1の側面にアクセスすることによって、前記基板上にトランジスタデバイス及び第1の配線層を形成することであって、前記ダミー電力レールが、前記基板の前記第1の側面の前記トランジスタデバイスのレベルの下に配置される、形成することと、
    前記基板の前記第1の側面とは反対側にある前記基板の前記第2の側面からアクセスすることによって、前記ダミー電力レールを導電性電力レールと交換することと、
    を含む、方法。
  2. 前記基板の前記第2の側面からアクセスすることによって、前記ダミー電力レールを前記導電性電力レールと交換することが、
    前記第2の側面から前記基板を薄化することと、
    前記第2の側面から前記ダミー電力レールを露出させることと、
    前記第2の側面から前記ダミー電力レールを前記導電性電力レールと交換することと、
    を含む、請求項1に記載の方法。
  3. 前記第2の側面から前記基板を薄化することが、
    前記基板の前記第2の側面で、エッチングプロセス、研削プロセス、及び化学機械研磨(CMP)プロセスのうちの少なくとも1つを使用して前記基板を薄化すること、
    を含む、請求項2に記載の方法。
  4. いったん前記ダミー電力レールが露出したら、前記薄化を停止すること、
    を更に含む、請求項2に記載の方法。
  5. いったん前記ダミー電力レールの一部である停止層が検出されたら、前記薄化を停止すること、
    を更に含む、請求項2に記載の方法。
  6. 前記ダミー電力レールの深さが、500ナノメートルよりも大きい、請求項4に記載の方法。
  7. 前記ダミー電力レールが露出されるまで、前記基板が薄化されると、前記薄化を停止すること、
    を更に含む、請求項3に記載の方法。
  8. 前記ダミー電力レールとアラインするエッチングマスクパターンを形成することと、
    前記エッチングマスクパターンに従って前記基板材料をエッチングして、前記ダミー電力レールを露出させることと、
    を更に含む、請求項7に記載の方法。
  9. 前記ダミー電力レールの一部であるエッチング停止層が露出するまで、前記エッチングマスクパターンに従って前記基板材料をエッチングすること、
    を更に含む、請求項8に記載の方法。
  10. 前記基板の前記第2の側面からアクセスすることによって、前記ダミー電力レールを導電性電力レールと交換することが、
    前記ダミー電力レールの材料を前記基板の前記第2の側面から選択的に除去して、前記基板の前記第2の側面へのレール開口部を形成することと、
    前記レール開口部を導電性スタックで充填して、前記導電性電力レールを形成することと、
    を更に含む、請求項1に記載の方法。
  11. 前記レール開口部を、前記トランジスタデバイス及び前記第1の配線層の前記形成用のための処理温度よりも低い熱安定性の閾値温度を有する前記導電性スタックで充填すること、
    を更に含む、請求項10に記載の方法。
  12. 前記レール開口部を、前記導電性電力レールを形成するバリア層及び銅層で充填すること、
    を更に含む、請求項11に記載の方法。
  13. 前記レール開口部、及び前記基板の前記第2の側面の表面を前記導電性スタックで過剰に充填することと、
    化学機械研磨(CMP)プロセスを実行して、前記基板の前記第2の側面の前記表面の前記導電性スタックを除去することと、
    を更に含む、請求項11に記載の方法。
  14. 前記基板の前記第2の側面に第2の配線層を形成することであって、前記第2の配線層が前記導電性電力レールに電力を供給するように構成されている、形成すること、を更に含む、請求項1に記載の方法。
JP2021548212A 2019-02-19 2020-02-10 後面電力供給における交換用埋設電力レール Active JP7486058B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962807451P 2019-02-19 2019-02-19
US62/807,451 2019-02-19
PCT/US2020/017427 WO2020171992A1 (en) 2019-02-19 2020-02-10 Replacement buried power rail in backside power delivery

Publications (2)

Publication Number Publication Date
JP2022521207A JP2022521207A (ja) 2022-04-06
JP7486058B2 true JP7486058B2 (ja) 2024-05-17

Family

ID=72043345

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021548212A Active JP7486058B2 (ja) 2019-02-19 2020-02-10 後面電力供給における交換用埋設電力レール

Country Status (7)

Country Link
US (1) US20200266169A1 (ja)
EP (1) EP3928350A4 (ja)
JP (1) JP7486058B2 (ja)
KR (1) KR20210118136A (ja)
CN (1) CN113424307A (ja)
TW (1) TW202042319A (ja)
WO (1) WO2020171992A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11923369B2 (en) * 2020-04-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system and method of forming the same
US11271567B1 (en) * 2020-09-04 2022-03-08 Arm Limited Buried metal technique for critical signal nets
US11444073B2 (en) 2020-10-27 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Power distribution network
US11521927B2 (en) 2020-11-10 2022-12-06 International Business Machines Corporation Buried power rail for scaled vertical transport field effect transistor
US11961802B2 (en) * 2020-12-04 2024-04-16 Tokyo Electron Limited Power-tap pass-through to connect a buried power rail to front-side power distribution network
US20220254769A1 (en) * 2021-02-09 2022-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and manufacturing method of the same
US20220262791A1 (en) * 2021-02-16 2022-08-18 Intel Corporation Integrated circuit structure with front side signal lines and backside power delivery
US11791326B2 (en) 2021-05-10 2023-10-17 International Business Machines Corporation Memory and logic chip stack with a translator chip
US11881455B2 (en) 2021-05-20 2024-01-23 Samsung Electronics Co., Ltd. Through silicon buried power rail implemented backside power distribution network semiconductor architecture and method of manufacturing the same
US11915966B2 (en) 2021-06-09 2024-02-27 International Business Machines Corporation Backside power rail integration
US11984401B2 (en) 2021-06-22 2024-05-14 International Business Machines Corporation Stacked FET integration with BSPDN
US11804436B2 (en) 2021-09-03 2023-10-31 International Business Machines Corporation Self-aligned buried power rail cap for semiconductor devices
US11990412B2 (en) * 2021-09-29 2024-05-21 International Business Machines Corporation Buried power rails located in a base layer including first, second, and third etch stop layers
US11817394B2 (en) * 2021-10-11 2023-11-14 International Business Machines Corporation Semiconductor circuit power delivery
US11894436B2 (en) 2021-12-06 2024-02-06 International Business Machines Corporation Gate-all-around monolithic stacked field effect transistors having multiple threshold voltages
US20230207697A1 (en) * 2021-12-29 2023-06-29 International Business Machines Corporation Buried power rail formation for vertical field effect transistors

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008085226A (ja) 2006-09-28 2008-04-10 Renesas Technology Corp 半導体ウェハおよびその製造方法、ならびに半導体装置の製造方法
US20150187642A1 (en) 2013-12-30 2015-07-02 International Business Machines Corporation Double-sided segmented line architecture in 3d integration
US20180145030A1 (en) 2016-11-21 2018-05-24 Imec Vzw Integrated circuit chip with power delivery network on the backside of the chip

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7098501B2 (en) * 2003-02-05 2006-08-29 Sun Microsystems, Inc. Thin capacitive structure
US8736021B2 (en) * 2009-05-15 2014-05-27 X-Fab Semiconductor Foundries Ag Semiconductor device comprising a metal system including a separate inductor metal layer
US9331062B1 (en) * 2013-12-06 2016-05-03 Altera Corporation Integrated circuits with backside power delivery
US9385140B1 (en) * 2015-02-04 2016-07-05 Texas Instruments Incorporated Efficient buried oxide layer interconnect scheme
US9570395B1 (en) * 2015-11-17 2017-02-14 Samsung Electronics Co., Ltd. Semiconductor device having buried power rail
US10475692B2 (en) * 2017-04-07 2019-11-12 Globalfoundries Inc. Self aligned buried power rail
US10020381B1 (en) * 2017-05-17 2018-07-10 International Business Machines Corporation Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
US10586765B2 (en) * 2017-06-22 2020-03-10 Tokyo Electron Limited Buried power rails
US11133254B2 (en) * 2018-09-28 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid power rail structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008085226A (ja) 2006-09-28 2008-04-10 Renesas Technology Corp 半導体ウェハおよびその製造方法、ならびに半導体装置の製造方法
US20150187642A1 (en) 2013-12-30 2015-07-02 International Business Machines Corporation Double-sided segmented line architecture in 3d integration
US20180145030A1 (en) 2016-11-21 2018-05-24 Imec Vzw Integrated circuit chip with power delivery network on the backside of the chip

Also Published As

Publication number Publication date
KR20210118136A (ko) 2021-09-29
EP3928350A4 (en) 2022-11-23
TW202042319A (zh) 2020-11-16
CN113424307A (zh) 2021-09-21
EP3928350A1 (en) 2021-12-29
JP2022521207A (ja) 2022-04-06
WO2020171992A1 (en) 2020-08-27
US20200266169A1 (en) 2020-08-20

Similar Documents

Publication Publication Date Title
JP7486058B2 (ja) 後面電力供給における交換用埋設電力レール
US10586765B2 (en) Buried power rails
TWI744358B (zh) 三維半導體裝置及其製造方法
US20220052038A1 (en) Architecture for monolithic 3d integration of semiconductor devices
US8975712B2 (en) Densely packed standard cells for integrated circuit products, and methods of making same
CN111699550A (zh) 三维器件及其形成方法
US11705453B2 (en) Self-aligned gate endcap (SAGE) architecture having local interconnects
US11018191B1 (en) 3D semiconductor device and structure
JP2022553678A (ja) 埋設電源レールを有するcfetのための電力供給ネットワーク
US11335731B1 (en) 3D semiconductor device and structure with transistors
US11665878B2 (en) CFET SRAM bit cell with two stacked device decks
WO2019059907A1 (en) HANDLING OF MULTIVERSION LIBRARY CELLS FROM WHICH INTEGRATED CIRCUIT STRUCTURES ARE MANUFACTURED
US11315980B1 (en) 3D semiconductor device and structure with transistors
TW202121650A (zh) 針對高效率3d集成相關應用資料的高度規則邏輯設計
US11257867B1 (en) 3D semiconductor device and structure with oxide bonds
TWI801832B (zh) 記憶體電路、記憶體單元以及記憶體單元的操作方法
US20210143217A1 (en) 3d semiconductor device and structure
WO2018236362A1 (en) INTERNAL NODE JUMPER FOR MEMORY BINARY CELLS
EP4287246A1 (en) A method for producing an interconnect rail for contacting a semiconductor device from the back side
US20240120257A1 (en) Layer-By-Layer Formation Of Through-Substrate Via
US11227897B2 (en) Method for producing a 3D semiconductor memory device and structure
TW202101768A (zh) 具有閘極接點之自對準閘極端蓋(sage)架構

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240402

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20240418

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240418

R150 Certificate of patent or registration of utility model

Ref document number: 7486058

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150