JP2019061978A - 基板処理方法および基板処理装置 - Google Patents

基板処理方法および基板処理装置 Download PDF

Info

Publication number
JP2019061978A
JP2019061978A JP2017182953A JP2017182953A JP2019061978A JP 2019061978 A JP2019061978 A JP 2019061978A JP 2017182953 A JP2017182953 A JP 2017182953A JP 2017182953 A JP2017182953 A JP 2017182953A JP 2019061978 A JP2019061978 A JP 2019061978A
Authority
JP
Japan
Prior art keywords
substrate
oxide layer
metal oxide
etching
supplying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017182953A
Other languages
English (en)
Other versions
JP7034645B2 (ja
Inventor
晃久 岩▲崎▼
Akihisa Iwasaki
晃久 岩▲崎▼
勇哉 赤西
Yuya Akanishi
勇哉 赤西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Holdings Co Ltd
Original Assignee
Screen Holdings Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Holdings Co Ltd filed Critical Screen Holdings Co Ltd
Priority to JP2017182953A priority Critical patent/JP7034645B2/ja
Priority to CN201810985964.4A priority patent/CN109545737A/zh
Priority to TW107130227A priority patent/TWI662616B/zh
Priority to KR1020180102426A priority patent/KR102179673B1/ko
Priority to US16/118,471 priority patent/US10892177B2/en
Publication of JP2019061978A publication Critical patent/JP2019061978A/ja
Priority to KR1020200149121A priority patent/KR102243108B1/ko
Application granted granted Critical
Publication of JP7034645B2 publication Critical patent/JP7034645B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D19/00Degasification of liquids
    • B01D19/0005Degasification of liquids with one or more auxiliary substances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

【課題】金属層を表面に有する基板を処理する構成において、ナノメートル以下の精度で金属層のエッチング量を制御することができる基板処理方法および基板処理装置を提供する。
【解決手段】金属層(銅配線102)を有する基板の表面に酸化流体(過酸化水素水)を供給することによって、1原子層または数原子層からなる酸化金属層(酸化銅層103)が金属層(銅配線102)の表層に形成される。基板の表面にエッチング液(希フッ酸)を供給することによって、酸化金属層を基板の表面から選択的に除去する。
【選択図】図8

Description

この発明は、基板を処理する基板処理方法および基板処理装置に関する。処理対象になる基板には、たとえば、半導体ウエハ、液晶表示装置用基板、有機EL(Electroluminescence)表示装置等のFPD(Flat Panel Display)用基板、光ディスク用基板、磁気ディスク用基板、光磁気ディスク用基板、フォトマスク用基板、セラミック基板、太陽電池用基板等の基板が含まれる。
半導体装置等の製造工程において、半導体ウエハの表面に多層の金属配線を形成する工程は、バックエンドプロセス(BEOL:Back End of the Line)等と呼ばれている。BEOLでは、微細な金属配線、プラグ、ビア等が形成されている(たとえば特許文献1参照)。
特開2016−192473号公報
BEOLでは、ナノメートル以下の精度で金属層をエッチングすることが要求される場合が有り得る。たとえば、フルセルフアラインビア(FSAV: Fully Self-Aligned Via)と呼ばれるプロセスでは、絶縁膜に形成されたトレンチ内に金属層を埋め込んだ後に、その金属層の表面部分をウェットエッチングによって数十ナノメートル厚だけ除去して、金属層表面をトレンチ内に後退させたい場合がある。その後退したビアを形成することで、当該ビアと近傍の配線との間の距離の最小化を図ることができる。このような場合には、1原子層〜数原子層のオーダーでウェットエッチングできる手法が必要である。
そこで、この発明の1つの目的は、金属層を表面に有する基板を処理する構成において、ナノメートル以下の精度で金属層のエッチング量を制御することができる基板処理方法および基板処理装置を提供することである。
この発明は、金属層を表面に有する基板を処理する基板処理方法であって、前記基板の表面に酸化流体を供給することによって、1原子層または数原子層からなる酸化金属層を前記金属層の表層に形成する酸化金属層形成工程と、前記基板の表面にエッチング液を供給することによって、前記酸化金属層を前記基板の表面から選択的に除去する酸化金属層除去工程とを含む、基板処理方法を提供する。
この方法によれば、酸化金属層形成工程では、1原子層または数原子層からなる酸化金属層が形成される。金属および酸化金属の1原子層の厚みは、1nm以下(たとえば、0.3nm〜0.4nm)である。そのため、酸化金属層除去工程において酸化金属層を選択的に除去することによって、ナノメートル以下の精度で金属層のエッチング量を制御することができる。
このように、1原子層または数原子層単位で金属層をエッチングする手法をALWE(Atomic Layer Wet Etching)という。数原子層とは、2原子層から10原子層のことをいう。
この発明の一実施形態では、前記酸化金属層形成工程と前記酸化金属層除去工程とが交互に複数回実行される。酸化金属層形成工程および酸化金属層除去工程を一回ずつ実行することによって、エッチングされる金属層の厚みは、ほぼ一定である。そのため、酸化金属層形成工程および酸化金属層除去工程を繰り返し実行する回数を調節することによって、所望のエッチング量を達成することができる。
この発明の一実施形態では、前記酸化金属層形成工程が、前記酸化金属層を形成するために、過酸化水素水、過塩素酸、硝酸、アンモニア過酸化水素水混合液、オゾン溶存水、酸素溶存水、ドライエア、オゾンガスのうちの少なくとも一種類を前記基板の表面に供給する工程を含んでいてもよい。
酸化金属層形成工程において形成される酸化金属層の厚みは、酸化流体の酸化力に依存する。pHが高いほど、すなわち塩基性が高いほど酸化流体の酸化力は高くなる。過酸化水素水は、pHが6〜8であるため、1原子層〜数原子層の酸化金属層を形成するのに適した酸化力を有している。したがって、酸化金属層を形成するために、過酸化水素水を基板の表面に供給する方法であれば、ナノメートル以下の厚みの酸化金属層を形成することができる。
この発明の一実施形態では、前記酸化金属層除去工程が、酸性薬液を前記基板の表面に供給する工程を含んでいてもよい。また、この発明の一実施形態では、前記酸化金属層除去工程が、前記酸性薬液として希フッ酸、塩酸、酢酸、クエン酸、グリコール酸のうちの少なくとも一種類を前記基板の表面に供給する工程を含んでいてもよい。
この発明の一実施形態では、前記酸化金属層除去工程が、脱気されたエッチング液を、前記基板の表面に供給する脱気エッチング液供給工程を含む。
エッチング液中の酸素の濃度(溶存酸素濃度)が高いと、基板の表面の金属層がエッチング液中の酸素によって酸化されて酸化金属層になるおそれがある。これでは、金属層において酸化流体によって酸化されなかった部分もエッチング液によってエッチングされてしまう。つまり、酸化金属層の除去選択性が低下する(エッチング量が変動する)おそれがある。そこで、脱気されたエッチング液を用いることによって、酸化金属層の除去選択性の向上を図ることができる。したがって、エッチング量を精度良く制御することができる。
この発明の一実施形態では、前記基板処理方法が、エッチング液タンク内のエッチング液に、不活性ガスを送り込むことによって前記エッチング液タンク内のエッチング液を脱気する脱気工程をさらに含む。そして、前記脱気エッチング液供給工程が、前記脱気工程によって脱気されたエッチング液を、前記基板の表面に供給する工程を含む。
この方法によれば、エッチング液タンク内のエッチング液に不活性ガスを送り込むことによって、エッチング液タンク内のエッチング液を脱気することができる。これにより、エッチング液中の溶存酸素濃度が充分に低減される。したがって、脱気エッチング液供給工程では、充分に溶存酸素濃度が低減されたエッチング液を基板の表面に供給することができる。
この発明の一実施形態では、前記脱気エッチング液供給工程が、脱気されたエッチング液の溶存酸素濃度を維持しつつ、前記脱気されたエッチング液を前記基板の表面に供給する工程を含む。
基板の表面に供給されたエッチング液が基板の表面の酸化金属層と反応する前に、エッチング液の周辺の雰囲気中に存在する酸素が、エッチング液に溶解するおそれがある。これでは、酸化金属層の除去選択性が低下する。そこで、溶存酸素濃度を、脱気されたときのエッチング液中の溶存酸素濃度に維持したまま、エッチング液を基板の表面に供給することによって、酸化金属層の除去選択性の向上を図ることができる。
この発明の一実施形態では、前記基板処理方法が、前記基板を水平に保持する基板保持工程と、前記基板に上方から対向する対向部を備える対向部材の前記対向部と前記基板との間の空間に向けて不活性ガスを供給することによって、前記空間内の雰囲気を不活性ガスで置換する置換工程とをさらに含む。そして、前記脱気エッチング液供給工程が、前記空間内の雰囲気が不活性ガスで置換された後に実行される。
この方法によれば、基板の表面と対向部との間の空間内の雰囲気が不活性ガスで置換される。つまり、基板の表面の周辺の雰囲気から酸素が排除さる。そのため、基板の表面に供給されたエッチング液に酸素が溶解して溶存酸素濃度が上昇するのを抑制または防止することができる。したがって、脱気直後の溶存酸素濃度を維持したエッチング液を基板の表面に供給することができる。
この発明の一実施形態では、前記基板処理方法が、前記対向部から下方に延び平面視で前記基板を取り囲む環状部が前記基板を側方から取り囲むように、前記対向部材を配置する対向部材配置工程をさらに含む。そして、前記対向部材配置工程が、前記置換工程の開始前に実行される。
基板が環状部によって側方から取り囲まれることによって、基板の表面と対向部との間の空間の密閉度が高められる。そのため、当該空間内の雰囲気が不活性ガスで置換された後において、当該空間への外部からの酸素の流入が抑制される。したがって、脱気直後の溶存酸素濃度を確実に維持したエッチング液を基板の表面に供給することができる。
この発明の一実施形態では、前記基板処理方法が、前記酸化金属層形成工程と前記酸化金属層除去工程との間に実行され、前記基板の表面に第1リンス液を供給することによって、前記基板の表面に付着した酸化流体を洗い流す第1リンス工程をさらに含む。
基板の表面に酸化流体が残った状態で基板の表面にエッチング液が供給されると、酸化金属層の除去によって新たに露出した金属層が、基板の表面に残った酸化流体によって酸化されるおそれがある。これでは、エッチング量が変動するおそれがある。そこで、第1リンス液で基板上の酸化流体を洗い流すことによって、エッチング量を精度良く制御することができる。
この発明の一実施形態では、前記第1リンス工程が、脱気された第1リンス液を、前記基板の表面に供給する脱気リンス液供給工程を含む。第1リンス液で酸化流体を洗い流しても、酸化金属層の除去によって新たに露出した金属層が、第1リンス液中の溶存酸素によって酸化されるおそれがある。そこで、脱気された第1リンス液を用いることによって、酸化金属層の除去によって新たに露出した金属層の酸化を抑制することができる。
この発明の一実施形態では、前記基板処理方法が、前記酸化金属層除去工程の後に実行され、前記基板の表面に第2リンス液を供給することによって、前記基板の表面に付着したエッチング液を洗い流す第2リンス工程をさらに含む。
酸化金属層を除去した後に基板の表面にエッチング液が残っていると、基板の表面の周辺の雰囲気中の酸素がエッチング液に新たに溶解する。そのため、エッチング液によって酸化金属層が除去されることによって新たに露出した金属層が、この酸素によって酸化されるおそれがある。これでは、酸化金属層の除去選択性が低下するおそれがある。そこで、第2リンス液で基板上のエッチング液を洗い流すことによって、エッチング量を精度良く制御することができる。
この発明の一実施形態では、前記金属層が、銅層およびコバルト層のうちの少なくとも一方を含んでいてもよい。
この発明の一実施形態では、前記基板が、トレンチが形成された絶縁層を有する。そして、前記金属層が、前記トレンチに配置された金属配線を有する。金属配線の幅は、微細化の影響を受けやすい。このような場合であっても、ナノメートル以下の精度で金属層のエッチングを制御することができる。
この発明の一実施形態では、前記基板処理方法が、最後の前記酸化金属層除去工程が終了した後に、被覆剤を前記基板の表面に供給することによって、前記基板の表面を覆う被覆膜を形成する被覆膜形成工程をさらに含む。
最後の酸化金属層排除工程が終了した後、基板の周囲の雰囲気中の酸素や水分によって、基板の表面の金属層が酸化されるおそれがある。そこで、基板の表面を覆う被覆膜を形成することによって、金属層を保護することができる。
この発明の一実施形態では、最後の前記酸化金属層除去工程が終了した後に、レーザを前記基板の表面に照射するレーザ照射工程を含む。そのため、レーザの照射によって金属層の表面を溶融させることができる。これにより、金属層の表面を平滑化することができる。基板の表面には、0.2J/cm以上で、かつ、0.5J/cm以下のエネルギー密度を有するレーザを照射することが好ましい。
この発明の一実施形態では、金属層を表面に有する基板を水平に保持する基板保持ユニットと、酸化流体を前記基板の表面に供給する酸化流体供給ユニットと、エッチング液を前記基板の表面に供給するエッチング液供給ユニットと、前記酸化流体供給ユニットおよび前記エッチング液供給ユニットを制御する制御ユニットとを含む、基板処理装置を提供する。そして、前記制御ユニットが、前記酸化流体供給ユニットから前記基板の表面に酸化流体を供給することによって、1原子層または数原子層からなる酸化金属層を前記金属層の表層に形成する酸化金属層形成工程と、前記エッチング液供給ユニットから前記基板の表面にエッチング液を供給することによって、前記酸化金属層を前記基板の表面から選択的に除去する酸化金属層除去工程とを実行するようにプログラムされている。
この構成によれば、酸化金属層形成工程では、1原子層または数原子層からなる酸化金属層が形成される。金属および酸化金属の1原子層の厚みは、1nm以下(たとえば、0.3nm〜0.4nm)である。そのため、酸化金属層除去工程において酸化金属層を選択的に除去することによって、ナノメートル以下の精度で金属層のエッチング量を制御することができる。
この発明の一実施形態では、前記制御ユニットが、前記酸化金属層形成工程と前記酸化金属層除去工程とを交互に複数回実行するようにプログラムされている。酸化金属層形成工程と酸化金属層除去工程とを一回ずつ実行することによって金属層が1原子層または数原子層だけエッチングされる。したがって、酸化金属層形成工程および酸化金属層除去工程を繰り返し実行する回数を調節することによって、所望のエッチング量を達成することができる。
この発明の一実施形態では、前記酸化流体供給ユニットが、過酸化水素水、過塩素酸、硝酸、アンモニア過酸化水素水混合液、オゾン溶存水、酸素溶存水、ドライエア、オゾンガスのうちの少なくとも一種類を前記基板の表面に供給してもよい。
酸化金属層形成工程において形成される酸化金属層の厚みは、酸化流体の酸化力に依存する。pHが高いほど、すなわち塩基性が高いほど酸化流体の酸化力は高くなる。過酸化水素水は、pHが6〜8であるため、1原子層〜数原子層の酸化金属層を形成するのに適した酸化力を有している。したがって、酸化流体供給ユニットが、基板の表面に過酸化水素水を供給する過酸化水素水供給ユニットを含む構成であれば、ナノメートル以下の厚みの酸化金属層を形成することができる。
この発明の一実施形態では、前記エッチング液供給ユニットが、酸性薬液を前記基板の表面に供給する酸性薬液供給ユニットを含んでいてもよい。前記酸性薬液が、フッ酸、塩酸、酢酸、クエン酸、グリコール酸のうちの少なくとも一種類を含んでいてもよい。
この発明の一実施形態では、前記エッチング液供給ユニットが、脱気されたエッチング液を前記基板の表面に供給する。
エッチング液中の酸素の濃度(溶存酸素濃度)が高いと、基板の表面の金属層がエッチング液中の酸素によって酸化されて酸化金属層になるおそれがある。これでは、金属層において酸化流体によって酸化されなかった部分もエッチング液によってエッチングされてしまう。つまり、酸化金属層の除去選択性が低下するおそれがある。そこで、脱気されたエッチング液を用いることによって、酸化金属層の除去選択性の向上を図ることができる。したがって、エッチング量を精度良く制御することができる。
この発明の一実施形態では、前記基板処理装置が、エッチング液を貯留するエッチング液タンクと、前記エッチング液タンク内のエッチング液に、不活性ガスを送り込むことによって前記エッチング液タンク内のエッチング液を脱気するバブリングユニットとをさらに含む。そして、前記エッチング液供給ユニットが、前記バブリングユニットによって脱気されたエッチング液を前記基板の表面に供給する。
この構成によれば、エッチング液タンク内のエッチング液にバブリングユニットから不活性ガスを送り込むことによって、エッチング液を脱気することができる。これにより、エッチング液中の溶存酸素濃度が充分に低減される。したがって、脱気エッチング液供給工程では、充分に溶存酸素濃度が低減されたエッチング液を基板の表面に供給することができる。
この発明の一実施形態では、前記基板処理装置が、前記基板に上方から対向する対向部を有し、前記基板保持ユニットに対して昇降する対向部材と、前記対向部と前記基板との間の空間に向けて不活性ガスを供給する不活性ガス供給ユニットとをさらに含む。
そして、前記制御ユニットが、前記不活性ガス供給ユニットから前記空間に向けて不活性ガスを供給することによって、前記空間内の雰囲気を不活性ガスで置換する置換工程と、前記空間内の雰囲気が不活性ガスで置換された後に前記エッチング液供給ユニットから前記基板の上面にエッチング液を供給するエッチング液供給工程とを実行するようにプログラムされている。
この構成によれば、基板の表面と対向部との間の空間内の雰囲気が不活性ガスで置換される。つまり、基板の表面の周辺の雰囲気から酸素が排除される。そのため、基板の表面に供給されたエッチング液に酸素が溶解して溶存酸素濃度が上昇するのを抑制または防止することができる。
この発明の一実施形態では、前記対向部材が、前記対向部から下方に延び平面視で前記基板を取り囲む環状部を含む。そして、前記制御ユニットが、前記環状部が前記基板を側方から取り囲むように前記対向部材を配置する対向部材配置工程を前記置換工程の開始前に実行するようにプログラムされている。
基板が環状部によって側方から取り囲まれることによって、基板の表面と対向部との間の空間の密閉度が高められる。そのため、当該空間内の雰囲気が不活性ガスで置換された後において、当該空間への外部からの酸素の流入が抑制される。したがって、基板の表面に供給されたエッチング液に酸素が溶解して溶存酸素濃度が上昇するのを抑制または防止することができる。
この発明の一実施形態では、前記基板処理装置が、前記基板の表面に第1リンス液を供給する第1リンス液供給ユニットをさらに含む。そして、前記制御ユニットが、前記酸化金属層形成工程と前記酸化金属層除去工程との間に、前記基板の表面に第1リンス液を供給することによって前記基板の表面に付着した酸化流体を洗い流す第1リンス工程を実行するようにプログラムされている。
基板の表面に酸化流体が残った状態で基板の表面にエッチング液が供給されると、酸化金属層の除去によって新たに露出した金属層が、基板の表面に残った酸化流体によって酸化されるおそれがある。これでは、エッチング量が変動するおそれがある。そこで、第1リンス液で基板上の酸化流体を洗い流すことによって、エッチング量を精度良く制御することができる。
この発明の一実施形態では、前記第1リンス液供給ユニットが、脱気された第1リンス液を、前記基板の表面に供給する。第1リンス液で酸化流体を洗い流しても、酸化金属層の除去によって新たに露出した金属層が、第1リンス液中の溶存酸素によって酸化されるおそれがある。そこで、脱気された第1リンス液を用いることによって、酸化金属層の除去によって新たに露出した金属層の酸化を抑制することができる。
この発明の一実施形態では、前記基板処理装置が、第2リンス液を前記基板の表面に供給する第2リンス液供給ユニットをさらに含む。そして、前記制御ユニットが、前記酸化金属層除去工程の後に、前記基板の表面に第2リンス液を供給することによって前記基板の表面に付着したエッチング液を洗い流す第2リンス工程を実行するようにプログラムされている。
酸化金属層を除去した後に基板の表面にエッチング液が残っていると、基板の表面の周辺の雰囲気中の酸素がエッチング液に新たに溶解する。そのため、エッチング液によって酸化金属層が除去されることによって新たに露出した金属層が、この酸素によって酸化されるおそれがある。これでは、酸化金属層の除去選択性が低下するおそれがある。そこで、第2リンス液で基板上のエッチング液を洗い流すことによって、エッチング量を精度良く制御することができる。
この発明の一実施形態では、前記金属層が、銅層およびコバルト層のうちの少なくとも一方を含んでいてもよい。
この発明の一実施形態では、前記基板が、トレンチが形成された絶縁層を有する。そして、前記金属層が、前記トレンチに配置された金属配線を有する。金属配線の幅は、微細化の影響を受けやすい。このような場合であっても、ナノメートル以下の精度で金属層のエッチングを制御することができる。
この発明の一実施形態では、前記基板処理装置が、前記基板の表面を覆う被覆剤を前記基板の表面に供給する被覆剤供給ユニットをさらに含む。そして、前記制御ユニットが、最後の前記酸化金属層除去工程が終了した後に、前記被覆剤供給ユニットから被覆剤を前記基板の表面に供給することによって被覆膜を形成する被覆膜形成工程を実行するようにプログラムされている。
最後の酸化金属層排除工程が終了した後、基板の周囲の雰囲気中の酸素や水分によって、基板の表面の金属層が酸化されるおそれがある。そこで、基板の表面を覆う被覆膜を形成することによって、金属層を保護することができる。
この発明の一実施形態では、前記基板処理装置が、前記最後の前記酸化金属層除去工程が終了した後に、レーザを前記基板の表面に照射するレーザ照射ユニットをさらに含む。そのため、レーザの照射によって金属層の表面を溶融させることができる。これにより、金属層の表面を平滑化することができる。基板の表面には、0.2J/cm以上で、かつ、0.5J/cm以下のエネルギー密度を有するレーザを照射することが好ましい。
図1は、この発明の一実施形態に係る基板処理装置の内部のレイアウトを説明するための模式的な平面図である。 図2は、前記基板処理装置で処理される基板の表層付近の断面図である。 図3は、前記基板処理装置に備えられた処理ユニットの模式図である。 図4は、前記基板処理装置に備えられたエッチング液供給装置の模式図である。 図5は、前記基板処理装置の主要部の電気的構成を説明するためのブロック図である。 図6は、前記基板処理装置による基板処理の一例を説明するための流れ図である。 図7Aは、前記基板処理を説明するための図解的な断面図である。 図7Bは、前記基板処理を説明するための図解的な断面図である。 図7Cは、前記基板処理を説明するための図解的な断面図である。 図7Dは、前記基板処理を説明するための図解的な断面図である。 図7Eは、前記基板処理を説明するための図解的な断面図である。 図8は、前記基板処理において酸化流体供給工程とエッチング液供給工程とが実行されることによる基板の表面状態の変化について説明するための模式図である。 図9は、基板の表面にレーザを照射するレーザ照射装置の模式図である。 図10は、エッチングのサイクル数と基板の銅膜のエッチング量との関係を示したグラフである。 図11は、基板の表面のCuのエッチング量の時間変化を測定した結果を示したグラフである。 図12Aは、過酸化水素の流量に対する銅膜のエッチング量の変化を測定した結果を示したグラフである。 図12Bは、図12Aにおける過酸化水素の流量が低流量の領域を拡大したグラフである。 図13は、サイクルエッチングによる銅膜のエッチング量の総量と1サイクル当たりの銅膜のエッチング量との関係を示したグラフである。 図14は、酸化流体として希釈過酸化水素水を用いたエッチングを10サイクル実行した後の銅配線のTEM画像である。 図15Aは、平坦な銅膜における銅の結晶粒について説明するための模式図である。 図15Bは、トレンチ内に配置された銅配線における銅の結晶粒について説明するための模式図である。 図16は、酸化流体として希釈過酸化水素水を用いたエッチングを4サイクル実行した後のエッチング量を測定した結果を示すグラフである。 図17は、サイクルエッチング前後のトレンチ内の銅配線の様子の変化を示すTEM画像およびSEM画像である。 図18は、銅配線に照射されたレーザのエネルギー密度に対する銅配線のシート抵抗の変化および結晶状態の変化を測定したグラフである。 図19は、互いに異なるエネルギー密度でレーザ照射した後の銅配線の表面状態を示すSEM画像である。 図20は、レーザ照射前後の銅配線の表面粗さを原子間力顕微鏡で測定した結果を示すグラフである。
以下では、この発明の実施の形態を添付図面を参照して詳細に説明する。
図1は、この発明の一実施形態に係る基板処理装置1の内部のレイアウトを説明するための模式的な平面図である。基板処理装置1は、シリコンウエハ等の基板Wを一枚ずつ処理する枚葉式の装置である。
この実施形態では、基板Wは、円板状の基板である。基板Wは、表面に化学機械研磨(CMP:Chemical Mechanical Polishing)等が施された基板である。図2は、基板Wの表層付近の断面図である。図2に示すように、基板Wは、表層付近に、トレンチ101が形成された絶縁層100と、トレンチ101内に配置された銅配線102(金属層)とを含む。基板Wは、この実施形態とは異なり、トレンチ101の外部に配置された平坦な銅膜(金属層)を含んでいてもよい。また、基板Wは、銅以外の金属(たとえばコバルト)からなる金属層を含んでいてもよい。後述する基板処理では、銅配線102の表面に、酸化銅層103が形成される。
図1を参照して、基板処理装置1は、処理液で基板Wを処理する複数の処理ユニット2と、処理ユニット2で処理される複数枚の基板Wを収容するキャリヤCが載置されるロードポートLPと、ロードポートLPと処理ユニット2との間で基板Wを搬送する搬送ロボットIRおよびCRと、基板処理装置1を制御する制御ユニット3とを含む。
搬送ロボットIRは、キャリヤCと搬送ロボットCRとの間で基板Wを搬送する。搬送ロボットCRは、搬送ロボットIRと処理ユニット2との間で基板Wを搬送する。複数の処理ユニット2は、たとえば、同様の構成を有している。処理液には、後述するエッチング液、リンス液、有機溶剤、被覆剤等が含まれる。
図3は、処理ユニット2の構成例を説明するための模式図である。処理ユニット2は、基板Wを水平に保持しながら基板Wの中央部を通る鉛直な回転軸線A1まわりに回転させるスピンチャック5と、スピンチャック5を取り囲むカップ4と、スピンチャック5に保持されている基板Wの上面に対向する対向部材6と、対向部材6を吊り下げ支持する支持部材7とを含む。
処理ユニット2は、カップ4を収容するチャンバ8(図1参照)を含む。チャンバ8には、チャンバ8内に基板Wを搬入したり、チャンバ8内から基板Wを搬出したりするための出入口(図示せず)が形成されている。チャンバ8には、この出入口を開閉するシャッタユニット(図示せず)が備えられている。
スピンチャック5は、基板保持ユニット24と、回転軸22と、スピンモータ23とを含む。
基板保持ユニット24は、基板Wを水平に保持する。基板保持ユニット24は、スピンベース21と複数のチャックピン20とを含む。スピンベース21は、水平方向に沿う円板形状を有している。スピンベース21の上面には、複数のチャックピン20が周方向に間隔を空けて配置されている。
回転軸22は、回転軸線A1に沿って鉛直方向に延びている。回転軸22の上端部は、スピンベース21の下面中央に結合されている。平面視におけるスピンベース21の中央領域には、スピンベース21を上下に貫通する貫通孔21aが形成されている。貫通孔21aは、回転軸22の内部空間22aと連通している。
スピンモータ23は、回転軸22に回転力を与える。スピンモータ23によって回転軸22が回転されることにより、スピンベース21が回転される。これにより、基板Wが回転軸線A1のまわりに回転される。以下では、回転軸線A1を中心とした径方向の内方を単に「径方向内方」といい、回転軸線A1を中心とした径方向の外方を単に「径方向外方」という。スピンモータ23は、基板Wを回転軸線A1のまわりに回転させる基板回転ユニットに含まれる。
対向部材6は、対向部60と、環状部61と、筒状部62と、複数のフランジ部63とを含む。
対向部60は、基板Wの上面に上方から対向する。対向部60は、円板状に形成されている。対向部60は、スピンチャック5の上方でほぼ水平に配置されている。対向部60は、基板Wの上面に対向する対向面60aを有する。対向部60の中央部には、対向部60を上下に貫通する貫通孔60bが形成されている。
環状部61は、対向部60の周縁部から下方に延びる。環状部61は、平面視で基板Wを取り囲んでいる。環状部61の内周面は、下方に向かうに従って、径方向外方に向かうように凹湾曲している。環状部61の外周面は、鉛直方向に沿って延びている。
筒状部62は、対向部60の上面に固定されている。筒状部62の内部空間は、対向部60の貫通孔60bと連通している。複数のフランジ部63は、筒状部62の周方向に互いに間隔を隔てて、筒状部62の上端に配置されている。各フランジ部63は、筒状部62の上端から水平に延びている。
対向部材6は、たとえば、磁力によって基板保持ユニット24と係合可能である。詳しくは、対向部材6は、複数の第1係合部66を含む。複数の第1係合部66は、環状部61よりも径方向内方で対向部60から下方に延びている。複数の第1係合部66は、回転軸線A1まわりの周方向に互いに間隔を隔てて配置されている。
基板保持ユニット24は、複数の第1係合部66と凹凸係合可能な複数の第2係合部76を含む。複数の第2係合部76は、回転軸線A1まわりの周方向に互いに間隔を隔てて、複数のチャックピン20よりも径方向外方でスピンベース21の上面に配置されている。
対向部材6の各第1係合部66と、基板保持ユニット24の対応する第2係合部76とが係合しているとき、対向部材6は、スピンベース21と一体回転可能である。スピンモータ23は、回転軸線A1まわりに対向部材6を回転させる対向部材回転ユニットとしても機能する。対向部材6が基板保持ユニット24と係合しているとき、環状部61は、径方向外方(側方)から基板Wを取り囲んでいる(図3の二点鎖線参照)。
処理ユニット2は、基板Wの中心に上方から対向する中心ノズル9をさらに含む。中心ノズル9の先端に設けられた吐出口9aは、対向部材6の筒状部62の内部空間に収容されている。
中心ノズル9は、流体を下方に吐出する複数のチューブ31〜35(第1チューブ31、第2チューブ32、第3チューブ33、第4チューブ34および第5チューブ35)と、複数のチューブ31〜35を取り囲む筒状のケーシング30とを含む。複数のチューブ31〜35およびケーシング30は、回転軸線A1に沿って上下方向に延びている。中心ノズル9の吐出口9aは、複数のチューブ31〜35の吐出口でもある。
第1チューブ31は、過酸化水素(H)水等の酸化流体を基板Wの上面に供給する酸化流体供給ユニットとしての機能と、脱イオン水(DIW:Deionized Water)等の第1リンス液を基板Wの上面に供給する第1リンス液供給ユニットとしての機能とを有する。
第1チューブ31から吐出される酸化流体は、基板Wの銅配線102の表層に1原子層または数原子層からなる酸化金属層としての酸化銅層103を形成する程度の酸化力を有する。第1チューブ31から吐出される酸化流体のpHは、6〜8であることが好ましく、7であることが一層好ましい。第1チューブ31から吐出される酸化流体の酸化還元電位は、過酸化水素以下であることが好ましい。
第1チューブ31から吐出される酸化流体が過酸化水素水である場合、酸化流体中の酸化剤としての過酸化水素の濃度は、1ppm〜100ppmであることが好ましい。第1チューブ31から吐出される酸化流体が過酸化水素水である場合、第1チューブ31は、過酸化水素水供給ユニットとして機能する。
第1チューブ31から吐出される酸化流体は、過酸化水素水に限られない。第1チューブ31から吐出される酸化流体は、過塩素酸(HClO)、硝酸(HNO)、アンモニア過酸化水素水混合液(SC1)、オゾン(O)溶存水、酸素(O)溶存水、ドライエア、オゾンガスのうちの少なくとも一種類を含む流体であってもよい。
第1チューブ31から吐出される第1リンス液は、DIWに限られず、炭酸水、電解イオン水、希釈濃度(たとえば、1ppm〜100ppm程度)の塩酸水、希釈濃度(たとえば、1ppm〜100ppm程度)の希釈アンモニア水、還元水(水素水)であってもよい。第1チューブ31から吐出される第1リンス液は、脱気されたものであることが好ましい。
第1チューブ31は、酸化流体および第1リンス液の両方が通る第1共通配管38に接続されている。第1共通配管38は、酸化流体バルブ51が介装された酸化流体配管41と、第1リンス液バルブ52が介装された第1リンス液配管42とに分岐されている。第1リンス液配管42には、第1リンス液を脱気する脱気ユニット80が介装されている。
酸化流体バルブ51が開かれると、酸化流体が、酸化流体配管41および第1共通配管38を介して第1チューブ31に供給される。そして、酸化流体は、第1チューブ31の吐出口(中心ノズル9の吐出口9a)から下方に連続的に吐出される。第1リンス液バルブ52が開かれると、第1リンス液が、第1リンス液配管42および第1共通配管38を介して第1チューブ31に供給される。そして、第1リンス液は、脱気ユニット80によって脱気され、第1チューブ31の吐出口から下方に連続的に吐出される。つまり、酸化流体バルブ51と第1リンス液バルブ52とによって、第1チューブ31から供給される流体が、酸化流体と第1リンス液とに切り替えられる。
第2チューブ32は、希フッ酸(DHF)等のエッチング液を基板Wの上面に供給するエッチング液供給ユニットとしての機能と、DIW等の第2リンス液を基板Wの上面に供給する第2リンス液供給ユニットとしての機能とを有する。
第2チューブ32から吐出されるエッチング液は、基板Wの酸化銅層103を選択的に除去可能である。そのため、第2チューブ32から吐出されるエッチング液中の溶存酸素は、低減されていることが好ましい。具体的には、エッチング液中の溶存酸素濃度は、200ppb以下にされていることが好ましく、70ppb以下にされていることが一層好ましい。
第2チューブ32から吐出されるエッチング液は、希フッ酸に限られない。第2チューブ32から吐出されるエッチング液は、無機酸や有機酸等の酸性薬液であればよい。酸性薬液は、たとえば、希フッ酸、塩酸、酢酸、クエン酸、グリコール酸のうちの少なくとも一種類を含む流体であってもよい。
第2チューブ32から吐出される第2リンス液は、DIWに限られず、炭酸水、電解イオン水、希釈濃度(たとえば、1ppm〜100ppm程度)の塩酸水、希釈濃度(たとえば、1ppm〜100ppm程度)の希釈アンモニア水、還元水(水素水)であってもよい。第2チューブ32から吐出される第2リンス液は、脱気されたものであることが好ましい。
第2チューブ32は、エッチング液および第2リンス液の両方が通る第2共通配管39に接続されている。第2共通配管39は、エッチング液バルブ53が介装されたエッチング液配管43と、第2リンス液バルブ54が介装された第2リンス液配管44とに分岐されている。詳しくは後述するが、エッチング液配管43には、脱気されたエッチング液がエッチング液供給装置10から供給される。第2リンス液配管44には、第2リンス液を脱気する脱気ユニット81が介装されている。
エッチング液バルブ53が開かれると、脱気されたエッチング液が、エッチング液配管43および第2共通配管39を介して第2チューブ32に供給される。脱気されたエッチング液は、第2チューブ32の吐出口(中心ノズル9の吐出口9a)から下方に連続的に吐出される。第2リンス液バルブ54が開かれると、第2リンス液が、第2リンス液配管44および第2共通配管39を介して第2チューブ32に供給される。第2リンス液は、脱気ユニット81によって脱気され、第2チューブ32の吐出口から下方に連続的に吐出される。つまり、エッチング液バルブ53と第2リンス液バルブ54とによって、第2チューブ32から供給される流体が、エッチング液と第2リンス液とに切り替えられる。
第3チューブ33は、被覆剤を基板Wの上面に供給する被覆剤供給ユニットとしての機能を有する。被覆剤は、基板Wの上面を被覆し保護する被覆膜を形成する液体である。被覆剤を構成する有機溶媒が蒸発することによって、基板Wの表面を覆う被覆膜が形成される。被覆膜は、基板Wの表面を単に覆っているだけでもよいし、絶縁層100の表面や銅配線102の表面と化学反応して一体化された状態で基板Wの表面を覆っていてもよい。被覆膜が形成されることによって、基板Wの銅配線102の酸化が防止される。
第3チューブ33は、被覆剤バルブ55が介装された被覆剤配管45に接続されている。被覆剤バルブ55が開かれると、被覆剤が、被覆剤配管45から第3チューブ33に供給され、第3チューブ33の吐出口(中心ノズル9の吐出口9a)から下方に連続的に吐出される。
第3チューブ33から吐出される被覆剤は、例えば、昇華性のアクリル系ポリマーを有機溶媒に溶解させた溶液である。昇華性のアクリル系ポリマーを溶解させる有機溶媒としては、PGEE(1−エトキシ−2−プロパノール)等が挙げられる。第3チューブ33から吐出される被覆剤は、表面撥水剤であってもよい。表面撥水剤としては、たとえば、ヘキサメチルジシラザン等の有機シランを有機溶媒に溶解させた液や、デカンチオール等のアルカンチオールを有機溶剤に溶解させた液が挙げられる。有機シランを溶解させる有機溶媒としては、PGMEA(2−アセトキシ−1−メトキシプロパン)等が挙げられる。アルカンチオールを溶解させる有機溶媒としては、ヘプタン等が挙げられる。有機チオールを用いた場合、銅配線102の表面に被覆膜としてのチオール有機分子層が形成されることによって、銅配線102の表面の酸化が防止される。
第4チューブ34は、イソプロピルアルコール(IPA:Isopropyl Alcohol)等の有機溶剤を基板Wの上面に供給する有機溶剤供給ユニットとしての機能を有する。第4チューブ34は、有機溶剤バルブ56が介装された有機溶剤配管46に接続されている。有機溶剤バルブ56が開かれると、有機溶剤が、有機溶剤配管46から第4チューブ34に供給され、第4チューブ34の吐出口(中心ノズル9の吐出口9a)から下方に連続的に吐出される。
第4チューブ34から吐出される有機溶剤は、第2リンス液および被覆剤の両方に混和可能であれば、IPA以外の有機溶剤であってもよい。より具体的には、第4チューブ34から吐出される有機溶剤は、IPA、HFE(ハイドロフルオロエーテル)、メタノール、エタノール、アセトンおよびTrans-1,2-ジクロロエチレンのうちの少なくとも1つを含む液を有機溶剤であってもよい。
第5チューブ35は、窒素ガス(Nガス)等の不活性ガスを吐出する。第5チューブ35は、第1不活性ガスバルブ57が介装された第1不活性ガス配管47に接続されている。第1不活性ガスバルブ57が開かれると、不活性ガスが、第1不活性ガス配管47から第5チューブ35に供給され、第5チューブ35の吐出口(中心ノズル9の吐出口9a)から下方に連続的に吐出される。第5チューブ35から吐出される不活性ガスは、対向部材6の筒状部62の内部空間および対向部60の貫通孔60bを通って、対向部60の対向面60aと基板Wの上面との間の空間65に供給される。
第5チューブ35から吐出される不活性ガスは、基板Wの上面およびパターンに対して不活性なガスのことである。第5チューブ35から吐出される不活性ガスは、窒素ガスに限られず、たとえば、アルゴン等の希ガス類であってもよい。
処理ユニット2は、基板Wの下面中央部に向けて窒素ガス等の不活性ガスを吐出する下面ノズル36を含む。下面ノズル36は、スピンベース21の上面中央部で開口する貫通孔21aおよび回転軸22の内部空間22aに挿入されている。下面ノズル36の吐出口36aは、スピンベース21の上面から露出されている。下面ノズル36の吐出口は、基板Wの下面中央部に下方から対向する。下面ノズル36は、第2不活性ガスバルブ58が介装された第2不活性ガス配管48に接続されている。
第2不活性ガスバルブ58が開かれると、不活性ガスが、第2不活性ガス配管48から下面ノズル36に供給され、下面ノズル36の吐出口36aから上方に連続的に吐出される。スピンチャック5が基板Wを回転させても、下面ノズル36は回転しない。
下面ノズル36から吐出される不活性ガスは、基板Wの上面およびパターンに対して不活性なガスのことである。下面ノズル36から吐出される不活性ガスは、窒素ガスに限られず、たとえば、アルゴン等の希ガス類であってもよい。
支持部材7は、対向部材6を支持する対向部材支持部70と、対向部材支持部70よりも上方に設けられ中心ノズル9のケーシング30を支持するノズル支持部71と、対向部材支持部70およびノズル支持部71を連結し鉛直方向に延びる壁部72とを含む。
対向部材支持部70とノズル支持部71と壁部72とによって空間73が区画されている。対向部材支持部70は、支持部材7の下壁を構成している。ノズル支持部71は、支持部材7の上壁を構成している。空間73は、対向部材6の筒状部62の上端部とフランジ部63とを収容する。ケーシング30とノズル支持部71とは密着している。
対向部材支持部70は、対向部材6(のフランジ部63)を下方から支持する。対向部材支持部70の中央部には、筒状部62が挿通される筒状部挿通孔70aが形成されている。各フランジ部63には、フランジ部63を上下方向に貫通する位置決め孔63aが形成されている。対向部材支持部70には、対応するフランジ部63の位置決め孔63aに係合可能な係合突起70bが形成されている。各位置決め孔63aに対応する係合突起70bが係合されることによって、回転軸線A1まわりの回転方向において支持部材7に対して対向部材6が位置決めされる。
処理ユニット2は、支持部材7を昇降させる支持部材昇降ユニット27を含む。支持部材昇降ユニット27は、たとえば、支持部材7を昇降させるボールねじ機構(図示せず)と、当該ボールねじ機構に駆動力を付与する電動モータ(図示せず)とを含む。
支持部材昇降ユニット27は、上位置(図3に実線で示す位置)から下位置(後述する図7Aに示す位置)までの間の所定の高さ位置に支持部材7を位置させることができる。下位置は、支持部材7の可動範囲において、支持部材7がスピンベース21の上面に最も近接する位置である。上位置は、支持部材7の可動範囲において、支持部材7がスピンベース21の上面から最も離間する位置である。
支持部材7は、上位置に位置するとき、対向部材6を吊り下げ支持している。支持部材7は、支持部材昇降ユニット27によって昇降されることによって、上位置と下位置との間の係合位置(図3に二点鎖線で示す位置)を通過する。
支持部材7は、上位置から係合位置まで対向部材6とともに下降する。支持部材7が係合位置に達すると、対向部材6を基板保持ユニット24に受け渡す。支持部材7は、係合位置よりも下方に達すると、対向部材6から離間する。支持部材7は、下位置から上昇し係合位置に達すると、基板保持ユニット24から対向部材6を受け取る。支持部材7は、係合位置から上位置まで対向部材6とともに上昇する。このように、対向部材6は、支持部材7が支持部材昇降ユニット27によって昇降されることによって、基板保持ユニット24に対して昇降する。そのため、支持部材昇降ユニット27は、対向部材昇降ユニットとして機能する。
図4は、基板処理装置1に備えられたエッチング液供給装置10の模式図である。エッチング液供給装置10は、エッチング液配管43にエッチング液を供給する。エッチング液供給装置10は、希フッ酸等のエッチング液を貯留するエッチング液タンク90と、原液バルブ91が介装された原液供給管92と、DIWバルブ93が介装されたDIW供給管94と、バブリングユニット95とを含む。DIW供給管94には、DIWバルブ93に加えて、脱気ユニット99が介装されていてもよい。
原液供給管92は、フッ酸(HF)等の原液を原液供給源からエッチング液タンク90に供給する。DIW供給管94は、原液を薄めるためのDIWをエッチング液タンク90に供給する。原液供給管92から供給される原液によって、エッチング液タンク90内のエッチング液の濃度を高くしたり、DIW供給管94から供給されるDIWによって、エッチング液タンク90内のエッチング液の濃度を低くしたりすることで、エッチング液タンク90内のエッチング液を所望の濃度に調整することができる。
バブリングユニット95は、窒素ガス等の不活性ガスをエッチング液タンク90内のエッチング液に送り込むことによって、エッチング液タンク90内のエッチング液を脱気する。
バブリングユニット95は、エッチング液タンク90内のエッチング液の液面よりも下方で水平に延びる不活性ガスノズル96と、不活性ガスノズル96に不活性ガスを供給する不活性ガス供給管97と、不活性ガス供給管97に介装された不活性ガスバルブ98とを含む。不活性ガスノズル96は、不活性ガスノズル96が延びる方向(略水平方向)に沿って並ぶ複数の吐出口96aを有する。
不活性ガスバルブ98が開かれると、不活性ガスが、不活性ガス供給源から不活性ガス供給管97を介して不活性ガスノズル96に供給される。不活性ガスは、不活性ガスノズル96の複数の吐出口96aからエッチング液タンク90内のエッチング液中に吐出される。エッチング液タンク90内のエッチング液中に吐出された不活性ガスによってエッチング液タンク90内のエッチング液が脱気される(脱気工程)。不活性ガスが窒素ガスである場合、不活性ガスノズル96からの窒素ガスの吐出量は、70L/min以上であることが好ましい。
脱気されたエッチング液は、エッチング液配管43に介装されたポンプ82によって第2チューブ32へ向けて送られる。その際、脱気されたエッチング液は、エッチング液配管43に介装されたフィルタ83を通過することによってろ過される。
図5は、基板処理装置1の主要部の電気的構成を説明するためのブロック図である。制御ユニット3は、マイクロコンピュータを備えており、所定のプログラムに従って、基板処理装置1に備えられた制御対象を制御する。より具体的には、制御ユニット3は、プロセッサ(CPU)3Aと、プログラムが格納されたメモリ3Bとを含み、プロセッサ3Aがプログラムを実行することによって、基板処理のための様々な制御を実行するように構成されている。
特に、制御ユニット3は、搬送ロボットIR,CR、スピンモータ23、支持部材昇降ユニット27、ポンプ82およびバルブ51〜58,91,93,98等の動作を制御する。バルブ51〜58,91,93,98が制御されることによって、対応するノズルまたはチューブからの流体の吐出の有無が制御される。
図6は、基板処理装置1による基板処理の一例を説明するための流れ図であり、主として、制御ユニット3がプログラムを実行することによって実現される処理が示されている。図7A〜図7Eは、基板処理の一例を説明するための図解的な断面図である。
基板処理装置1による基板処理では、例えば、図5に示すように、基板搬入工程(S1)、酸化流体供給工程(S2)、第1リンス液供給工程(S3)、エッチング液供給工程(S4)、第2リンス液供給工程(S5)、有機溶剤供給工程(S6)、被覆剤供給工程(S7)、基板乾燥工程(S8)および基板搬出工程(S9)がこの順番で実行される。
第2リンス供給工程(S5)の後、すぐに有機溶剤供給程(S6)が実行されるのではなく、酸化流体供給工程(S2)〜第2リンス液供給工程(S5)は、所定回数繰り返される。
以下では、基板処理装置1による基板処理について詳しく説明する。
まず、処理ユニット2に基板Wが搬入される前に、対向部材6と基板保持ユニット24とが係合可能となるように、回転方向における対向部材6と基板保持ユニット24との相対位置が調整される。詳しくは、平面視で、対向部材6の第1係合部66と基板保持ユニット24の第2係合部76とが重なるように、回転方向における基板保持ユニット24の位置をスピンモータ23が調整する。
そして、図1も参照して、基板処理装置1による基板処理では、基板Wが、搬送ロボットIR,CRによってキャリヤCから処理ユニット2に搬入され、スピンチャック5に渡される(ステップS1:基板搬入工程)。この後、基板Wは、搬送ロボットCRによって搬出されるまでの間、チャックピン20によって、スピンベース21の上面から上方に間隔を空けて水平に保持される(基板保持工程)。
そして、図7Aに示すように、支持部材昇降ユニット27が、上位置に位置する支持部材7を下位置まで下降させる。支持部材7は、下位置に移動する前に係合位置を通過する。支持部材7が係合位置を通過する際に、対向部材6と基板保持ユニット24とが磁力によって係合する。これにより、支持部材昇降ユニット27によって、環状部61が径方向外方(側方)から基板Wを取り囲む位置に対向部材6が配置される(対向部材配置工程)。これにより、基板Wは、対向部材6とスピンベース21とによって区画される収容空間67に収容される。基板Wの上面と対向部60の対向面60aとの間の空間65は、収容空間67の一部である。
そして、第1不活性ガスバルブ57が開かれる。これにより、第5チューブ35から基板Wの上面に向けて窒素ガス(Nガス)等の不活性ガスが供給される。そして、第2不活性ガスバルブ58が開かれる。これにより、下面ノズル36から基板Wの下面に向けて窒素ガス(Nガス)等の不活性ガスが供給される。基板Wの下面に向けて供給された窒素ガスは、基板Wの上面側に回り込む。そのため、下面ノズル36から吐出された窒素ガスは、結果的に空間65に供給される。これにより、収容空間67全体内の雰囲気が不活性ガスで置換され、結果的に空間65内の雰囲気が不活性ガスに置換される(置換工程)。すなわち、空間65内の酸素濃度が低減される。このように、第5チューブ35および下面ノズル36は、空間65に不活性ガスを供給する不活性ガス供給ユニットとして機能する。
次に、図7Bを参照して、酸化流体バルブ51が開かれる。これにより、基板Wの上面の中央領域に向けて第1チューブ31から過酸化水素水(H)等の酸化流体が供給(吐出)される(ステップS2:酸化流体供給工程)。基板Wの上面に酸化流体が供給されることによって、基板Wの銅配線102(図2参照)が酸化される。これにより、酸化銅層103(図2参照)が形成される(酸化金属層形成工程)。
酸化流体は、遠心力によって、基板Wの上面の全体に行き渡る。基板W上の酸化流体は、遠心力によって基板Wから径方向外方へ飛散し、カップ4によって受けられる。
基板Wの上面への酸化流体の供給が所定時間(たとえば10秒)継続された後、酸化流体バルブ51が閉じられる。一方、第1リンス液バルブ52が開かれる。これにより、基板Wの上面の中央領域に向けて第1チューブ31からDIW等の第1リンス液が供給(吐出)される(ステップS3:第1リンス液供給工程)。第1チューブ31から吐出される第1リンス液は、第1リンス液配管42に介装された脱気ユニット80によって脱気された第1リンス液である(脱気第1リンス液供給工程)。
第1リンス液は、遠心力によって、基板Wの上面の全体に行き渡る。これにより、基板W上の酸化流体が第1リンス液によって洗い流される。基板W上の酸化流体および第1リンス液は、遠心力によって基板Wから径方向外方へ飛散し、カップ4によって受けられる。
基板Wの上面への酸化流体の供給が所定時間(たとえば10秒)継続された後、第1リンス液バルブ52が閉じられる。そして、図7Cを参照して、エッチング液バルブ53が開かれる。これにより、基板Wの上面の中央領域に向けて、第2チューブ32から希フッ酸(DHF)等のエッチング液が供給(吐出)される(ステップS4:エッチング液供給工程)。基板Wの上面にエッチング液が供給されることによって、基板Wの酸化銅層103(図2参照)が選択的に除去される(酸化金属層除去工程)。すなわち、基板Wの銅配線102において酸化流体によって酸化銅層103に酸化された部分が、選択的に除去される。
第2チューブ32から吐出されるエッチング液は、バブリングユニット95によって既に脱気されたエッチング液である(脱気エッチング液供給工程)。第2チューブ32からエッチング液が吐出される際、収容空間67(空間65)内の雰囲気は、不活性ガスによって既に置換されている。すなわち、エッチング液は、脱気されたときの溶存酸素濃度を維持したまま基板Wの上面に供給される。エッチング液中の溶存酸素濃度は、200ppb以下であることが好ましく、70ppb以下であることが一層好ましい。このように、溶存酸素濃度が極めて低いエッチング液が基板Wの上面に供給される。エッチング液によって、酸化銅層103が一層選択的に除去される。
基板Wの上面に着液したエッチング液は、遠心力によって、基板Wの上面の全体に行き渡る。これにより、基板W上第1リンス液がエッチング液に置換される。基板W上の酸化流体および第1リンス液は、遠心力によって基板Wから径方向外方へ飛散し、カップ4によって受けられる。
基板Wの上面へのエッチング液の供給が所定時間(たとえば10秒)継続された後、エッチング液バルブ53が閉じられる。一方、第2リンス液バルブ54が開かれる。これにより、基板Wの上面の中央領域に向けて第2チューブ32からDIW等の第2リンス液が供給(吐出)される(ステップS5:第2リンス液供給工程)。第2チューブ32から吐出される第2リンス液は、第2リンス液配管44に介装された脱気ユニット81によって脱気された第2リンス液である(脱気第2リンス液供給工程)。
第2リンス液は、遠心力によって、基板Wの上面の全体に行き渡る。これにより、基板W上のエッチング液が第2リンス液によって洗い流される。基板W上のエッチング液および第2リンス液は、遠心力によって基板Wから径方向外方へ飛散し、カップ4によって受けられる。
基板Wの上面への第2リンス液の供給が所定時間(たとえば10秒)継続された後、第2リンス液バルブ54が閉じられる。そして、図7Bを再び参照して、酸化流体バルブ51が開かれる。これにより、酸化流体供給工程(S2)が実行される。その後、第1リンス液供給工程(S3)、エッチング液供給工程(S4)および第2リンス液供給工程(S5)が実行される。酸化流体供給工程(S2)〜第2リンス液供給工程(S5)が所定回数実行された後、すなわち、最後の第2リンス液供給工程(S5)の後、有機溶剤供給工程(S6)以降の工程が実行される。酸化流体供給工程(S2)〜第2リンス液供給工程(S5)が一回ずつ実行されることによって、酸化金属層形成工程および酸化金属層除去工程が一回ずつ(1サイクル)実行される。
詳しくは、第2リンス液バルブ54が閉じられ、その代わりに、図7Dに示すように、有機溶剤バルブ56が開かれる。これにより、基板Wの上面の中央領域に向けて第4チューブ34からIPA等の有機溶剤が供給(吐出)される(ステップS6:有機溶剤供給工程)。
有機溶剤は、遠心力によって、基板Wの上面の全体に行き渡る。有機溶剤は、第2リンス液と混和する。そのため、基板W上の第2リンス液は、新たに供給される有機溶剤とともに基板W上から排除される。これにより、基板W上の第2リンス液が有機溶剤で置換される。基板W上の第2リンス液および有機溶剤は、遠心力によって基板Wから径方向外方へ飛散し、カップ4によって受けられる。
そして、有機溶剤バルブ56が閉じられ、その代わりに、図7Eに示すように、被覆剤バルブ55が開かれる。これにより、基板Wの上面の中央領域に向けて第3チューブ33から被覆剤が供給(吐出)される(ステップS7:被覆剤供給工程)。
被覆剤は、遠心力によって、基板Wの上面の全体に行き渡る。被覆剤は、有機溶剤と混和する。そのため、基板W上の有機溶剤は、新たに供給される被覆剤とともに基板W上から排除される。これにより、基板W上の有機溶剤が被覆剤で置換され、基板Wの上面が被覆剤によって覆われる。基板W上の有機溶剤および被覆剤は、遠心力によって基板Wから径方向外方へ飛散し、カップ4によって受けられる。
そして、被覆剤バルブ55が閉じられる。これにより、基板Wの上面への被覆剤の供給が停止される。そして、基板W上の被覆剤中の有機溶媒が蒸発することによって、基板W上に被覆膜が形成される。このとき、スピンベース21内に内蔵されたヒータ(図示せず)等によって基板Wを加熱することによって、被覆剤中の有機溶剤を蒸発させてもよい。
そして、スピンモータ23が基板Wを例えば、2000rpmで回転させる。これによって、基板W上の液成分が振り切られ、基板Wが乾燥される(ステップS9:基板乾燥工程)。
その後、スピンモータ23がスピンチャック5の回転を停止させる。そして、第1不活性ガスバルブ57および第2不活性ガスバルブ58を閉じる。そして、支持部材昇降ユニット27が支持部材7を上位置に移動させる。その後、図1も参照して、搬送ロボットCRが、処理ユニット2に進入して、スピンチャック5から処理済みの基板Wをすくい取って、処理ユニット2外へと搬出する(ステップS10:基板搬出工程)。その基板Wは、搬送ロボットCRから搬送ロボットIRへと渡され、搬送ロボットIRによって、キャリヤCに収納される。
この実施形態によれば、図8(a)および図8(b)に示す基板Wの表面に酸化流体を供給することによって、1原子層または数原子層からなる酸化銅層103(酸化金属層)が銅配線102(金属層)の表層に形成される(酸化金属層形成工程)。そして、図8(c)および図8(d)に示すように基板Wの表面に過酸化水素水等のエッチング液を供給することによって、酸化銅層103が基板Wの表面から選択的に除去される(酸化金属層除去工程)。
酸化金属層形成工程では、1原子層または数原子層からなる酸化銅層103が形成される。銅および酸化銅の1原子層の厚みは、1nm以下(たとえば、0.3nm〜0.4nm)である。そのため、酸化金属層除去工程において酸化銅層103を選択的に除去することによって、ナノメートル以下の精度で金属層のエッチング量を制御することができる。エッチング量は、リセス量ともいい、エッチング深度ともいう。
またこの実施形態によれば、酸化金属層形成工程と酸化金属層除去工程とが交互に複数回実行される。酸化金属層形成工程および酸化金属層除去工程を一回ずつ実行することによって、酸化される銅配線102の厚みは、ほぼ一定である。すなわち、銅配線102の自己整合酸化が達成されている。そのため、エッチングされる銅配線102の厚み(エッチング量D1)は、ほぼ一定である(図8(c)参照)。したがって、酸化金属層形成工程および酸化金属層除去工程を繰り返し実行する回数を調節することによって、図8(e)に示すように所望のエッチング量D2を達成することができる。
このように、一定のエッチング量で段階的に銅配線102をエッチングすることをデジタルエッチングという。また、酸化金属層形成工程および酸化金属層除去工程を繰り返し実行することによって金属層(銅配線102)をエッチングすることをサイクルエッチングという。
酸化金属層形成工程において形成される酸化銅層103の厚みは、酸化流体の酸化力に依存する。pHが高いほど、すなわち塩基性が高いほど酸化流体の酸化力は高くなる。過酸化水素水は、pHが6〜8であるため、1原子層〜数原子層の酸化銅層103を形成するのに適した酸化力を有している。したがって、酸化銅層103を形成するために、過酸化水素水を基板Wの表面に供給する方法であれば、ナノメートル以下の厚みの酸化銅層103を形成することができる。
またこの実施形態によれば、酸化金属層除去工程において、脱気されたエッチング液が第2チューブ32(エッチング液供給ユニット)から基板Wの表面に供給される(脱気エッチング液供給工程)。
エッチング液中の溶存酸素濃度が高いと、基板Wの表面の銅配線102がエッチング液中の酸素によって酸化されて酸化銅層103になるおそれがある。これでは、銅配線102において酸化流体によって酸化されなかった部分もエッチング液によってエッチングされてしまう。つまり、酸化銅層103の除去選択性が低下する(エッチング量が変動する)。脱気されたエッチング液を用いることによって、エッチング液による酸化の選択性の向上を図ることができる。したがって、エッチング量を精度良く制御することができる。
またこの実施形態によれば、エッチング液タンク90内のエッチング液に、不活性ガスを送り込むことによってエッチング液タンク90内のエッチング液が脱気される(脱気工程)。そして、脱気エッチング液供給工程が、脱気工程によって脱気されたエッチング液を、基板Wの表面に供給する工程を含む。
この方法によれば、エッチング液タンク90内のエッチング液にバブリングユニット95から不活性ガスを送り込むことによって、エッチング液タンク90内のエッチング液を脱気することができる。これにより、エッチング液中の溶存酸素濃度が充分に低減される。したがって、脱気エッチング液供給工程では、充分に溶存酸素濃度が低減されたエッチング液を基板Wの上面に供給することができる。
またこの実施形態によれば、脱気エッチング液供給工程が、脱気されたエッチング液の溶存酸素濃度を維持しつつ、脱気されたエッチング液を基板Wの表面に供給する工程を含む。基板Wの表面に供給されたエッチング液が基板Wの表面の酸化銅層103と反応する前に、エッチング液の周辺の雰囲気中に存在する酸素が、エッチング液に溶解するおそれがある。そこで、溶存酸素濃度を、脱気されたときのエッチング液中の溶存酸素濃度に維持したまま、エッチング液を基板Wの表面に供給することによって、酸化銅層103の除去選択性の向上を図ることができる。
またこの実施形態によれば、第5チューブ35および下面ノズル36から対向部材6の対向部60と基板Wとの間の空間65に向けて不活性ガスを供給することによって、空間65内の雰囲気が不活性ガスで置換される(置換工程)。そして、空間65内の雰囲気が不活性ガスで置換された後に、空間65に露出する吐出口9aを有する中心ノズル9から基板の表面に向けて脱気されたエッチング液から吐出される(脱気エッチング液吐出工程)。
このように、空間65内の雰囲気が不活性ガスで置換される。つまり、基板Wの上面の周辺の雰囲気から酸素が排除される。そのため、基板Wの上面に供給されたエッチング液に酸素が溶解して溶存酸素濃度が上昇するのを抑制または防止することができる。したがって、脱気直後の溶存酸素濃度を維持したまま、エッチング液を基板Wの表面に供給することができる。
またこの実施形態によれば、対向部材6の環状部61が基板Wを径方向外方(側方)から取り囲むように対向部材6が配置される(対向部材配置工程)。そして、対向部材配置工程が、置換工程の開始前に実行される。
基板Wが環状部61によって径方向外方から取り囲まれることによって、空間65の密閉度が高められる。そのため、空間65内の雰囲気が不活性ガスで置換された後において、空間65への外部からの酸素の流入が抑制される。したがって、溶存酸素濃度を、脱気直後の溶存酸素濃度を確実に維持したエッチング液を基板Wの表面に供給することができる。
またこの実施形態では、酸化金属層形成工程と酸化金属層除去工程との間に第1リンス工程が実行される。基板Wの上面に酸化流体が残った状態で基板Wの上面にエッチング液が供給されると、酸化銅層103の除去によって新たに露出した銅配線102が、基板Wの上面に残った酸化流体によって酸化されるおそれがある。これでは、エッチング量が変動するおそれがある。そこで、DIW等の第1リンス液によって基板W上の酸化流体を洗い流すことによって、エッチング量を精度良く制御することができる。
またこの実施形態によれば、第1リンス工程では、脱気された第1リンス液が基板Wの上面に供給される(脱気リンス液供給工程)。第1リンス液で酸化流体を洗い流しても、酸化銅層103の除去によって新たに露出した銅配線102が、第1リンス液中の溶存酸素によって酸化されるおそれがある。そこで、脱気された第1リンス液を用いることによって、酸化銅層103の除去によって新たに露出した銅配線102の酸化を抑制することができる。
またこの実施形態では、酸化金属層除去工程の後に第2リンス工程が実行される。酸化銅層103を除去した後に基板Wの上面にエッチング液が残っていると、基板Wの上面の周辺の雰囲気中の酸素がエッチング液に新たに溶解する。そのため、エッチング液によって酸化銅層103が除去されることによって新たに露出した銅配線102が、この酸素によって酸化されるおそれがある。これでは、酸化銅層103の除去選択性が低下するおそれがある。そこで、第2リンス液によって基板Wの上面に付着したエッチング液を洗い流すことによって、エッチング量を精度良く制御することができる。
またこの実施形態によれば、基板Wが、トレンチ101が形成された絶縁層100と、トレンチ101に配置された銅配線102(金属配線)とを有する。銅配線102の幅は、微細化の影響を受けやすい。このような場合であっても、ナノメートル以下の精度で銅配線102のエッチングを制御することができる。
また実施形態では、最後の第2リンス液供給工程の後(最後の酸化金属層排除工程が終了した後でもある)に、被覆膜形成工程が実行される。最後の第2リンス液供給工程の後、基板Wの周辺の雰囲気中の酸素や水分によって、基板Wの上面の銅配線102が酸化されるおそれがある。そこで、基板Wの上面を覆う被覆膜を形成することによって、銅配線102を保護することができる。
基板処理装置1による基板処理が実行された後、図9に示すレーザ処理装置200を用いて基板Wの上面にLTA(Laser Thermal Anneal)処理が実行される。図9は、レーザ処理装置200の模式図である。
レーザ処理装置200は、基板処理装置1とは別の装置である。レーザ処理装置200は、基板Wを載置するステージ202と、ステージ202を水平方向に移動させるステージ移動ユニット203と、ステージ202を収容する処理室204と、レーザ201を発生させるレーザ光源205と、レーザ201を反射させるミラー206とを含む。
ミラー206は、処理室204の天井部204aの上方に配置されている。天井部204aには、ミラー206で反射して下方に向いたレーザ201を処理室204に導入するためのスリット207が形成されている。この実施形態では、ミラー206は一つしか図示していないが、レーザ光源205から発生したレーザ201を反射させるミラーが複数設けられていてもよい。
レーザ光源205は、たとえば、エキシマランプである。レーザ201の波長は、たとえば、308nmのエキシマレーザである。レーザ201のエネルギー密度は、0.2J/cm以上で、かつ、0.5J/cm以下であることが好ましい。
次に、LTA処理について説明する。基板処理装置1による基板処理が実行された基板Wが、処理室204に搬送され、ステージ202上に載置される。そして、レーザ光源205からレーザ201を発生させて、基板Wの上面にレーザ201を照射する(ステップS10:レーザ照射工程、図6の二点鎖線参照。)。基板Wの上面にレーザ201を照射させながら、ステージ移動ユニット203によって、ステージ202を水平方向に移動させることによって、基板Wの上面におけるレーザ照射位置を変化させる。基板Wの上面の所定の領域に対してレーザ201を行うことで、LTA処理が終了する。レーザ照射工程は、図6に示す基板処理後に実行されるので、最後の酸化金属除去工程の終了後に実行される。
LTA(Laser Thermal Anneal)処理を実行することによって、レーザ201の照射によって銅配線102の表面を溶融させることができる。これにより、銅配線102の表面を平滑化することができる。
銅配線102は、トレンチ101内に配置されているため、銅配線102の表面にCMPを施すことは困難である。このような場合であっても、LTA処理によって銅配線102の表面を平滑化することができる。
なお、被覆膜によって基板Wの上面が保護されている場合であっても、銅配線102へのレーザ201の照射は可能である。
この実施形態では、レーザ処理装置200は、基板処理装置1とは別の装置であるとした。しかしながら、この実施形態とは異なり、レーザ処理装置200は、レーザ照射ユニットとして基板処理装置1に備えられていてもよい。
この発明は、以上に説明した実施形態に限定されるものではなく、さらに他の形態で実施することができる。
上述した基板処理では、酸化流体供給工程(S2)〜第2リンス液供給工程(S5)が所定回数繰り返されるとした。しかしながら、上述した実施形態とは異なり、酸化流体供給工程(S2)〜第2リンス液供給工程(S5)が繰り返されない場合も有り得る。
また、上述した基板処理では、最後の第2リンス液供給工程(S5)の後に被覆剤供給工程(S6)を実行した。しかしながら、上述した基板処理とは異なり、被覆剤供給工程(S6)が省略されてもよい。
その他、特許請求の範囲に記載した範囲で種々の変更を行うことができる。
以下では、図10〜図18を用いて、これまで説明してきた数原子層の酸化銅層の形成および酸化銅層の選択的なエッチングを実証するために行った実験の結果について説明する。
図10〜図12Bには、基板にサイクルエッチングを施した後のエッチング量を測定する実験を行った。この実験では、枚葉式の基板洗浄装置(SCREENセミコンダクターソリューションズ製のSU−3200)を用いてサイクルエッチングを実行した後のエッチング量を測定した。
基板として、電気メッキ(ECD:Electrochemical Deposition)によって500nmに成長させた平坦な銅膜を表面に有するものを用いた。銅膜の上に形成された自然酸化膜は、24℃の環境下で、溶存酸素濃度が50ppb以下である希フッ酸(dHF:約0.05%濃度のフッ酸)を用いて20秒間処理することによって選択的に除去した。酸化流体によるエッチング量の違いを比較するために二種類の酸化流体を用いた。具体的には、室温の環境下で、質量パーセント濃度が約3%の希釈過酸化水素水(dH)と、室温で質量パーセント濃度が約1.2%の希釈APM(dAPM)とを用いた。dAPMは、アンモニア水と過酸化水素水とDIWとが概ね1:4:100の割合で混合された液体である。いずれの酸化流体を用いた場合であっても、エッチング液としては、dHFが用いられた。
エッチング量は、サイクルエッチング前後の銅膜の厚みを測定し、その差分から計算された。銅膜の厚みの測定は、シート抵抗装置(KLA−Tencor製RS100)を用いて行われた。また、サイクル毎のエッチング量は、サイクルエッチング前後の銅膜の厚みから計算されたエッチング量の総量を、サイクル数で割ることによって計算された。
図10は、エッチングのサイクル数と基板の銅膜のエッチング量との関係を示したグラフである。図10の横軸は、サイクル数である。図10の縦軸は、銅膜のエッチング量(の総量)である。図10に示すように、dAPMを用いたとき銅膜のエッチング量およびdHを用いたときの銅膜のエッチング量は、ともに、サイクル数にほぼ比例した。ただし、dHを用いたときの銅膜のエッチング量は、dAPMを用いたとき銅膜のエッチング量よりも直線状に並んでいるため、dHを用いた方がdAPMを用いたときよりも銅膜のエッチング量が安定すると考えられる。
また、dAPM中の過酸化水素の質量パーセント濃度(1.2wt%)は、dH中の過酸化水素の質量パーセント濃度(2.8wt%)の半分以下であるにもかかわらず、dAPMを用いたとき銅膜のエッチング量は、dHを用いたときの銅膜のエッチング量よりも大きかった。
サイクル当たりのエッチング量が小さい方が、全体のエッチング量を制御しやすい。図10に示した実験結果によると、エッチング量の制御の観点では、dAPMよりもdHを用いることが好ましいといえる。
次に、酸化流体による銅膜の酸合の時間依存性について調べるために、エッチング量の時間変化を測定した。図11は、基板の表面の銅膜のエッチング量の時間変化を測定した結果を示したグラフである。図11の横軸は、酸化流体による基板の表面の処理時間である。図11の縦軸は、1サイクル当たりの銅膜のエッチング量である。
図11に示すように、酸化流体としてdAPMを用いると、処理時間が長くなるほど1サイクル当たりのエッチング量が大きくなった。一方、酸化流体としてdHを用いると、処理開始から約3秒でエッチング量が0.8nmに達した。その後、処理時間が経過してもエッチング量はほとんど増加せず、処理開始から約120秒経過した時点であっても1.4nm程度であった。酸化流体としてdHを用いたときの処理時間に対するエッチング量の増加率は、酸化流体としてdAPMを用いたときの処理時間に対するエッチング量の増加率よりも小さかった。
図11に示した実験結果によると、1サイクル当たりのdHによる銅膜のエッチング量は、1.0nm程度が限界であると考えられる。そして、酸化流体としてdHを用いることによって、高精度なエッチング量の制御を達成できることが推察できる。そして、処理時間のマージンが増大することが推察できる。
次に、酸化流体による銅膜のエッチングの濃度依存性について調べるために、酸化流体中の過酸化水素の濃度に対するエッチング量の変化を測定した。
図12Aは、過酸化水素の流量に対する銅膜のエッチング量の変化を測定した結果を示したグラフである。図12Bは、図12Aにおける過酸化水素の流量が低流量の領域(二点鎖線の領域)を拡大したグラフである。図12Aおよび図12Bの横軸は、酸化流体中の過酸化水素の流量である。酸化流体の全流量(2L/min)と過酸化水素の流量とから、酸化流体中の過酸化水素の濃度を計算することができる。図12Aおよび図12Bの縦軸は、銅膜のエッチング量である。図12Aおよび図12Bでは、サイクルエッチングによるエッチング量の総量と、1サイクル当たりのエッチング量の両方を示している。
図12Aおよび図12Bに示すように、銅膜のエッチング量は、酸化流体中の過酸化水素の濃度を変化させてもほぼ変化しなかった。詳しくは、1サイクル当たりの銅膜のエッチング量は、酸化流体中の過酸化水素の濃度が1ppm以上であれば、約1.0nm程度であった。
図12Aおよび図12Bに示した実験結果によると、酸化流体としてdHを用いることによって、高精度なエッチング量の制御が達成でき、かつ、酸化流体中の酸化剤の濃度のマージンが大きいことがわかる。
図13は、サイクルエッチングによる銅膜のエッチング量の総量と1サイクル当たりの銅膜のエッチング量との関係を示したグラフである。図13の横軸は、サイクル数である。図13の左側の縦軸は、サイクルエッチングによる銅膜のエッチング量の総量である。図13の右側の縦軸は、1サイクル当たりの銅膜のエッチング量である。
図13に示すように、サイクルエッチングのサイクル数にかかわらず、1サイクル当たりの銅膜のエッチング量は、ほぼ一定であり、約1.0nmである。つまり、エッチング深度が安定している。1.0nmは、銅原子4個分の長さに相当する。つまり、1サイクル当たり、4原子層(数原子層)からなる酸化銅層が銅膜から形成されている。このように、dHを用いることによって、銅膜の自己整合酸化が達成される。酸化流体の酸化力を調整することによって1原子層からなる酸化銅層を銅膜の表面に形成できると考えられる。dHよりも酸化還元電位の低い酸化流体を用いると、4原子層よりも少ない数の原子層からなる酸化銅層が形成されると考えられる。また、dHよりも酸化還元電位の高い酸化流体を用いると、4原子層よりも多い数の原子層からなる酸化銅層が形成されると考えられる。
次に、平坦な銅膜を表面に有する基板ではなく、トレンチ内に銅配線が配置された基板を用いた実験について説明する。このウエハは、22.5nmの半分のピッチでパターンが形成されている。また、トレンチは、Low−k膜に形成されており、銅配線とLow−k膜との間には、Ta/TaNバリアが形成されている。トレンチ内の銅配線のリセス量(エッチング量)を測定するために原子間力顕微鏡(Veeco製のNanoscopeV)、OCD測定装置(Nova製のT600)、走査型電子顕微鏡(SEM)(KLA−Tencor製のEDR7100)および透過型電子顕微鏡(TEM)(FEI製のTecnai F30ST)を用いた。特に記載がない場合、その他の以外の条件は、上述の実験と同じである。
図14は、酸化流体としてdHを用いたサイクルエッチングを10サイクル実行した後の銅配線のTEM画像である。図14に示すように、トレンチの深さは、20nm〜25nmであるにもかかわらず、トレンチ内の銅配線は、10サイクルのサイクルエッチングによって完全に除去された。平坦な銅膜を有するウエハを基板として用いた場合、10サイクルのサイクルエッチングによって除去される銅膜の厚さは、10nm程度であった(図13参照)。つまり、トレンチ内の銅配線の1サイクル当たりのエッチング量は、1サイクル当たりの平坦な銅膜のエッチング量の2〜2.5倍であった。
エッチングされる銅の厚みの違いは、銅の結晶粒の大きさの違いに起因すると考えられる。詳しくは、図15Aおよび図15Bに示すように、平坦な銅膜における銅の結晶粒G1は、トレンチ内の銅配線における結晶粒G2よりも大きい。平坦な銅膜を有するウエハは、ECDで銅膜を成長させた後にアニーリングされている。そのため、結晶粒同士が合体し、サイズの大きな結晶粒G1が形成されている。
酸化流体による銅の酸化の初期段階では、銅の結晶粒同士の境界に沿って化学反応が起こると予測されるため、結晶粒が小さければ反応が進行しやすいと考えられる。これが、1サイクル当たりのトレンチ内の銅配線のエッチング量が1サイクル当たりの平坦な銅膜のエッチング量よりも大きくなる原理と考えられる。したがって、平坦な銅膜をエッチングするときと、トレンチ内の銅配線をエッチングするときとで、サイクルエッチングのサイクル数を変更することが好ましいと考えられる。
図16は、酸化流体として希釈過酸化水素水を用いたサイクルエッチングを4サイクル実行した後のエッチング量を測定した結果を示すグラフである。図17は、サイクルエッチング前後のトレンチ内銅配線の様子の変化を示すTEM画像およびSEM画像である。図17(a)は、サイクルエッチング前の銅配線の様子を示すTEM画像であり、図17(b)は、サイクルエッチング(4サイクル)後の銅配線の様子を示すTEM画像である。図17(c)は、サイクルエッチング前の銅配線の表面の様子を示すSEM画像であり、図17(d)は、サイクルエッチング(4サイクル)後の銅配線の表面の様子を示すSEM画像である。
図16に示すように、サイクルエッチングを4サイクル実行すると、リセスの深さ(銅配線のエッチング量)は、約10nmであった。この結果は、図17(a)および図17(b)に示すTEM画像とほぼ一致している。また、図17(c)および図17(d)に示すように、サイクルエッチングを施すことによって銅配線の表面が粗くなった。
次に、サイクルエッチングによって粗くなったトレンチ内の銅配線の表面の粗さを改善するために銅配線の表面にレーザを照射する実験を行った。この実験では、トレンチ内に銅配線が配置された基板が用いられた。特に記載がない場合、その他の以外の条件は、上述の実験と同じである。
この実験では、まず、サイクルエッチングが施された基板にLTA処理を行った。LTA処理は、SCREEN Laser Systems & Solutions of Europe製のLT−3000を用いて行った。このLTA装置を用いてエネルギー密度を0J/cmから0.65J/cmまで変化させた。
まず、LTA処理が銅の結晶状態および抵抗値に与える影響について調べた。銅の結晶状態は、X線回折装置(Bruker製のJVX7300)を用いて測定した。図18は、銅配線に照射されたレーザのエネルギー密度に対する銅配線のシート抵抗の変化および結晶状態の変化を測定したグラフである。図18の横軸は、レーザのエネルギー密度である。図18の左側の縦軸は、X線回折による測定結果(各結晶状態を示すピークの強度)である。図18の右側の縦軸は、シート抵抗である。
レーザの照射前は、銅の結晶状態が(111)であり、このとき銅のシート抵抗は、約0.55Ω/sqであった。レーザのエネルギー密度を0.5J/cmよりも高い値に上昇させると、一部の銅の結晶状態が(200)に変化し、銅のシート抵抗が上昇した。したがって、シート抵抗(抵抗値)の観点から、レーザのエネルギー密度は、0.5J/cm以下に設定することが好ましいと考えられる。
次に、LTA処理後の銅配線の表面状態を走査型電子顕微鏡(SEM)(KLA−Tencor製のEDR7100)用いて測定した。図19は、互いに異なるエネルギー密度でレーザ照射した後の銅配線の表面状態を示すSEM画像である。図19(a)は、LTA処理前の銅配線の表面状態を示している。図19(b)は、エネルギー密度が0.15J/cmであるレーザを照射した後の銅配線の表面状態を示している。図19(c)は、エネルギー密度が0.3J/cmであるレーザを照射した後の銅配線の表面状態を示している。図19(d)は、エネルギー密度が0.4J/cmであるレーザを照射した後の銅配線の表面状態を示している。
図19(a)および図19(b)に示すように、エネルギー密度が0.15J/cmであるレーザを用いた場合、銅配線の表面の粗さは低減されなかった。一方、図19(d)および図19(d)に示すように、エネルギー密度が0.30J/cmまたは0.40J/cmであるレーザを用いた場合、銅配線の表面の粗さが低減された。
そして、LTA処理による銅配線の表面の粗さの低減を定量的に測定するため、原子間力顕微鏡(Veeco製のNanoscopeV)を用いて銅配線の表面粗さの測定を行った。図20は、レーザ照射前後の銅配線の表面粗さを原子間力顕微鏡で測定した結果を示すグラフである。
図20に示すように、エネルギー密度が0.30J/cmまたは0.40J/cmであるレーザが照射された銅配線の表面粗さは、レーザ照射をしなかった銅配線の表面粗さよりも低いという結果が得られた。すなわち、エネルギー密度が0.30J/cmまたは0.40J/cmであるレーザを銅配線の表面に照射することによって、銅配線の表面粗さが低減された。
1 :基板処理装置
3 :制御ユニット
6 :対向部材
24 :基板保持ユニット
31 :第1チューブ(酸化流体供給ユニット、過酸化水素水供給ユニット、第1リンス液供給ユニット)
32 :第2チューブ(エッチング液供給ユニット、第2リンス液供給ユニット)
34 :第4チューブ(被覆剤供給ユニット)
35 :第5チューブ(不活性ガス供給ユニット)
36 :下面ノズル(不活性ガス供給ユニット)
60 :対向部
61 :環状部
65 :空間(対向部と基板との間の空間)
90 :エッチング液タンク
95 :バブリングユニット
100 :絶縁層
101 :トレンチ
102 :銅配線(金属層)
103 :酸化銅層(酸化金属層)
200 :レーザ処理装置(レーザ照射ユニット)
201 :レーザ
W :基板

Claims (37)

  1. 金属層を表面に有する基板を処理する基板処理方法であって、
    前記基板の表面に酸化流体を供給することによって、1原子層または数原子層からなる酸化金属層を前記金属層の表層に形成する酸化金属層形成工程と、
    前記基板の表面にエッチング液を供給することによって、前記酸化金属層を前記基板の表面から選択的に除去する酸化金属層除去工程とを含む、基板処理方法。
  2. 前記酸化金属層形成工程と前記酸化金属層除去工程とが交互に複数回実行される、請求項1に記載の基板処理方法。
  3. 前記酸化金属層形成工程が、前記酸化金属層を形成するために、過酸化水素水、過塩素酸、硝酸、アンモニア過酸化水素水混合液、オゾン溶存水、酸素溶存水、ドライエア、オゾンガスのうちの少なくとも一種類を前記基板の表面に供給する工程を含む、請求項1または2に記載の基板処理方法。
  4. 前記酸化金属層形成工程が、前記酸化金属層を形成するために、過酸化水素水を前記基板の表面に供給する過酸化水素水供給工程を含む、請求項3に記載の基板処理方法。
  5. 前記酸化金属層除去工程が、酸性薬液を前記基板の表面に供給する工程を含む、請求項1〜4のいずれか一項に記載の基板処理方法。
  6. 前記酸化金属層除去工程が、前記酸性薬液として希フッ酸、塩酸、酢酸、クエン酸、グリコール酸のうちの少なくとも一種類を前記基板の表面に供給する工程を含む、請求項5に記載の基板処理方法。
  7. 前記酸化金属層除去工程が、脱気されたエッチング液を、前記基板の表面に供給する脱気エッチング液供給工程を含む、請求項1〜6のいずれか一項に記載の基板処理方法。
  8. エッチング液タンク内のエッチング液に、不活性ガスを送り込むことによって前記エッチング液タンク内のエッチング液を脱気する脱気工程をさらに含み、
    前記脱気エッチング液供給工程が、前記脱気工程によって脱気されたエッチング液を、前記基板の表面に供給する工程を含む、請求項7に記載の基板処理方法。
  9. 前記脱気エッチング液供給工程が、脱気されたエッチング液の溶存酸素濃度を維持しつつ、前記脱気されたエッチング液を前記基板の表面に供給する工程を含む、請求項7または8に記載の基板処理方法。
  10. 前記基板を水平に保持する基板保持工程と、
    前記基板に上方から対向する対向部を備える対向部材の前記対向部と前記基板との間の空間に向けて不活性ガスを供給することによって、前記空間内の雰囲気を不活性ガスで置換する置換工程とをさらに含み、
    前記脱気エッチング液供給工程が、前記空間内の雰囲気が不活性ガスで置換された後に実行される、請求項9に記載の基板処理方法。
  11. 前記対向部から下方に延び平面視で前記基板を取り囲む環状部が前記基板を側方から取り囲むように、前記対向部材を配置する対向部材配置工程をさらに含み、
    前記対向部材配置工程が、前記置換工程の開始前に実行される、請求項10に記載の基板処理方法。
  12. 前記酸化金属層形成工程と前記酸化金属層除去工程との間に実行され、前記基板の表面に第1リンス液を供給することによって、前記基板の表面に付着した酸化流体を洗い流す第1リンス工程をさらに含む、請求項1〜11のいずれか一項に記載の基板処理方法。
  13. 前記第1リンス工程が、脱気された第1リンス液を、前記基板の表面に供給する脱気リンス液供給工程を含む、請求項12に記載の基板処理方法。
  14. 前記酸化金属層除去工程の後に実行され、前記基板の表面に第2リンス液を供給することによって、前記基板の表面に付着したエッチング液を洗い流す第2リンス工程をさらに含む、請求項1〜13のいずれか一項に記載の基板処理方法。
  15. 前記金属層が、銅層およびコバルト層のうちの少なくとも一方を含む、請求項1〜14のいずれか一項に記載の基板処理方法。
  16. 前記基板が、トレンチが形成された絶縁層を有し、
    前記金属層が、前記トレンチに配置された金属配線を有する、請求項1〜15のいずれか一項に記載の基板処理方法。
  17. 最後の前記酸化金属層除去工程が終了した後に、被覆剤を前記基板の表面に供給することによって、前記基板の表面を覆う被覆膜を形成する被覆膜形成工程をさらに含む、請求項1〜16のいずれか一項に記載の基板処理方法。
  18. 最後の前記酸化金属層除去工程が終了した後に、レーザを前記基板の表面に照射するレーザ照射工程を含む、請求項1〜17のいずれか一項に記載の基板処理方法。
  19. 前記レーザ照射工程が、0.2J/cm以上で、かつ、0.5J/cm以下のエネルギー密度を有するレーザを前記基板の表面に照射する工程を含む、請求項18に記載の基板処理方法。
  20. 金属層を表面に有する基板を水平に保持する基板保持ユニットと、
    酸化流体を前記基板の表面に供給する酸化流体供給ユニットと、
    エッチング液を前記基板の表面に供給するエッチング液供給ユニットと、
    前記酸化流体供給ユニットおよび前記エッチング液供給ユニットを制御する制御ユニットとを含み、
    前記制御ユニットが、前記酸化流体供給ユニットから前記基板の表面に酸化流体を供給することによって、1原子層または数原子層からなる酸化金属層を前記金属層の表層に形成する酸化金属層形成工程と、前記エッチング液供給ユニットから前記基板の表面にエッチング液を供給することによって、前記酸化金属層を前記基板の表面から選択的に除去する酸化金属層除去工程とを実行するようにプログラムされている、基板処理装置。
  21. 前記制御ユニットが、前記酸化金属層形成工程と前記酸化金属層除去工程とを交互に複数回実行するようにプログラムされている、請求項20に記載の基板処理装置。
  22. 前記酸化流体供給ユニットが、過酸化水素水、過塩素酸、硝酸、アンモニア過酸化水素水混合液、オゾン溶存水、酸素溶存水、ドライエア、オゾンガスのうちの少なくとも一種類を前記基板の表面に供給する、請求項20または21に記載の基板処理装置。
  23. 前記酸化流体供給ユニットが、前記基板の表面に過酸化水素水を供給する過酸化水素水供給ユニットを含む、請求項22に記載の基板処理装置。
  24. 前記エッチング液供給ユニットが、酸性薬液を前記基板の表面に供給する酸性薬液供給ユニットを含む、請求項20〜23のいずれか一項に記載の基板処理装置。
  25. 前記酸性薬液が、フッ酸、塩酸、酢酸、クエン酸、グリコール酸のうちの少なくとも一種類を含む、請求項24のいずれか一項に記載の基板処理装置。
  26. 前記エッチング液供給ユニットが、脱気されたエッチング液を前記基板の表面に供給する、請求項20〜25のいずれか一項に記載の基板処理装置。
  27. エッチング液を貯留するエッチング液タンクと、
    前記エッチング液タンク内のエッチング液に、不活性ガスを送り込むことによって前記エッチング液タンク内のエッチング液を脱気するバブリングユニットとをさらに含み、
    前記エッチング液供給ユニットが、前記バブリングユニットによって脱気されたエッチング液を前記基板の表面に供給する、請求項26に記載の基板処理装置。
  28. 前記基板に上方から対向する対向部を有し、前記基板保持ユニットに対して昇降する対向部材と、
    前記対向部と前記基板との間の空間に向けて不活性ガスを供給する不活性ガス供給ユニットとをさらに含み、
    前記制御ユニットが、前記不活性ガス供給ユニットから前記空間に向けて不活性ガスを供給することによって、前記空間内の雰囲気を不活性ガスで置換する置換工程と、前記空間内の雰囲気が不活性ガスで置換された後に前記エッチング液供給ユニットから前記基板の上面にエッチング液を供給するエッチング液供給工程とを実行するようにプログラムされている、請求項20〜27のいずれか一項に記載の基板処理装置。
  29. 前記対向部材が、前記対向部から下方に延び平面視で前記基板を取り囲む環状部を含み、
    前記制御ユニットが、前記環状部が前記基板を側方から取り囲むように前記対向部材を配置する対向部材配置工程を前記置換工程の開始前に実行するようにプログラムされている、請求項28に記載の基板処理装置。
  30. 前記基板の表面に第1リンス液を供給する第1リンス液供給ユニットをさらに含み、
    前記制御ユニットが、前記酸化金属層形成工程と前記酸化金属層除去工程との間に、前記基板の表面に第1リンス液を供給することによって前記基板の表面に付着した酸化流体を洗い流す第1リンス工程を実行するようにプログラムされている、請求項20〜29のいずれか一項に記載の基板処理装置。
  31. 前記第1リンス液供給ユニットが、脱気された第1リンス液を、前記基板の表面に供給する、請求項30に記載の基板処理装置。
  32. 第2リンス液を前記基板の表面に供給する第2リンス液供給ユニットをさらに含み、
    前記制御ユニットが、前記酸化金属層除去工程の後に、前記基板の表面に第2リンス液を供給することによって前記基板の表面に付着したエッチング液を洗い流す第2リンス工程を実行するようにプログラムされている、請求項20〜31のいずれか一項に記載の基板処理装置。
  33. 前記金属層が、銅層およびコバルト層のうちの少なくとも一方を含む、請求項20〜32のいずれか一項に記載の基板処理装置。
  34. 前記基板が、トレンチが形成された絶縁層を有し、
    前記金属層が、前記トレンチに配置された金属配線を有する、請求項20〜33のいずれか一項に記載の基板処理装置。
  35. 前記基板の表面を覆う被覆剤を前記基板の表面に供給する被覆剤供給ユニットをさらに含み、
    前記制御ユニットが、最後の前記酸化金属層除去工程が終了した後に、前記被覆剤供給ユニットから被覆剤を前記基板の表面に供給することによって被覆膜を形成する被覆膜形成工程を実行するようにプログラムされている、請求項20〜34のいずれか一項に記載の基板処理装置。
  36. 最後の前記酸化金属層除去工程が終了した後に、レーザを前記基板の表面に照射するレーザ照射ユニットをさらに含む、請求項20〜35のいずれか一項に記載の基板処理装置。
  37. 前記制御ユニットが、0.2J/cm以上で、かつ、0.5J/cm以下のエネルギー密度を有するレーザを前記基板の表面に照射する、請求項36に記載の基板処理装置。
JP2017182953A 2017-09-22 2017-09-22 基板処理方法および基板処理装置 Active JP7034645B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2017182953A JP7034645B2 (ja) 2017-09-22 2017-09-22 基板処理方法および基板処理装置
CN201810985964.4A CN109545737A (zh) 2017-09-22 2018-08-28 基板处理方法及基板处理装置
TW107130227A TWI662616B (zh) 2017-09-22 2018-08-30 基板處理方法及基板處理裝置
KR1020180102426A KR102179673B1 (ko) 2017-09-22 2018-08-30 기판 처리 방법 및 기판 처리 장치
US16/118,471 US10892177B2 (en) 2017-09-22 2018-08-31 Substrate processing method and substrate processing apparatus
KR1020200149121A KR102243108B1 (ko) 2017-09-22 2020-11-10 기판 처리 방법 및 기판 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017182953A JP7034645B2 (ja) 2017-09-22 2017-09-22 基板処理方法および基板処理装置

Publications (2)

Publication Number Publication Date
JP2019061978A true JP2019061978A (ja) 2019-04-18
JP7034645B2 JP7034645B2 (ja) 2022-03-14

Family

ID=65807891

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017182953A Active JP7034645B2 (ja) 2017-09-22 2017-09-22 基板処理方法および基板処理装置

Country Status (5)

Country Link
US (1) US10892177B2 (ja)
JP (1) JP7034645B2 (ja)
KR (2) KR102179673B1 (ja)
CN (1) CN109545737A (ja)
TW (1) TWI662616B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021145009A (ja) * 2020-03-11 2021-09-24 株式会社Screenホールディングス 基板処理液、基板処理方法および基板処理装置
JP2022507400A (ja) * 2018-11-15 2022-01-18 東京エレクトロン株式会社 自己律速型で有限溶解度の反応を使用した湿式原子層エッチングのための処理システム及びプラットフォーム
JP2022507521A (ja) * 2018-11-15 2022-01-18 東京エレクトロン株式会社 自己律速型で有限溶解度の反応を使用した湿式原子層エッチング
WO2022124246A1 (ja) 2020-12-07 2022-06-16 三菱瓦斯化学株式会社 リセスエッチング液、リセスエッチング方法および表面処理された半導体基板の製造方法
KR20230113587A (ko) 2020-12-28 2023-07-31 가부시키가이샤 스크린 홀딩스 배선 형성 방법 및 기판 처리 장치
KR20230125832A (ko) 2021-01-07 2023-08-29 샌트랄 글래스 컴퍼니 리미티드 웨트 에칭 용액 및 웨트 에칭 방법

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7250566B2 (ja) * 2019-02-26 2023-04-03 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP7202230B2 (ja) * 2019-03-20 2023-01-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP7241594B2 (ja) * 2019-04-22 2023-03-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11476124B2 (en) * 2021-01-05 2022-10-18 Taiwan Semiconductor Manufacturing Company Ltd. Etchant for etching a cobalt-containing member in a semiconductor structure and method of etching a cobalt-containing member in a semiconductor structure
JP2022129872A (ja) * 2021-02-25 2022-09-06 株式会社Screenホールディングス 基板処理方法および基板処理装置

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177102A (ja) * 1990-09-17 1994-06-24 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体表面の清浄化方法および装置
JPH0781902A (ja) * 1993-09-17 1995-03-28 Nec Corp 金属不純物除去方法およびその装置
JP2002075986A (ja) * 2000-08-30 2002-03-15 Oki Electric Ind Co Ltd GaAs系基板の表面処理方法
JP2004207503A (ja) * 2002-12-25 2004-07-22 Canon Inc 処理装置
JP2005191034A (ja) * 2003-12-24 2005-07-14 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2006073945A (ja) * 2004-09-06 2006-03-16 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP2006269668A (ja) * 2005-03-23 2006-10-05 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2009004807A (ja) * 2008-09-12 2009-01-08 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
JP2015141967A (ja) * 2014-01-28 2015-08-03 株式会社Screenホールディングス 基板処理装置
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
WO2016204757A1 (en) * 2015-06-17 2016-12-22 Intel Corporation Transition metal dry etch by atomic layer removal of oxide layers for device fabrication

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS565754B2 (ja) 1973-05-25 1981-02-06
JP2819938B2 (ja) * 1992-05-13 1998-11-05 日本電気株式会社 半導体装置の製造方法
JP3197397B2 (ja) * 1993-08-11 2001-08-13 富士通株式会社 多層セラミック回路基板の作成方法
JP2882572B2 (ja) * 1994-08-31 1999-04-12 インターナショナル・ビジネス・マシーンズ・コーポレイション 金属薄膜をレーザで平坦化する方法
JP3735394B2 (ja) 1995-06-16 2006-01-18 ソニー株式会社 薄膜半導体装置の製造方法
JP3400396B2 (ja) 1998-01-13 2003-04-28 株式会社東芝 レーザアニール装置およびレーザアニール方法
JP3505395B2 (ja) 1998-07-10 2004-03-08 三洋電機株式会社 レーザーアニール装置
JP2000349086A (ja) * 1999-06-03 2000-12-15 Toshiba Corp 半導体素子の製造方法とその製造装置
JP3953265B2 (ja) * 1999-10-06 2007-08-08 株式会社荏原製作所 基板洗浄方法及びその装置
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
JP2002075925A (ja) * 2000-09-02 2002-03-15 Jun Kikuchi 表面平坦化処理方法および装置
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
JP2006269620A (ja) * 2005-03-23 2006-10-05 Mitsui Eng & Shipbuild Co Ltd Aldによる湿式成膜方法および装置
US7452481B2 (en) * 2005-05-16 2008-11-18 Kabushiki Kaisha Kobe Seiko Sho Polishing slurry and method of reclaiming wafers
US8361032B2 (en) * 2006-02-22 2013-01-29 Carefusion 2200 Inc. Curable material delivery device with a rotatable supply section
WO2009124180A2 (en) * 2008-04-02 2009-10-08 The Trustees Of Columbia University In The City Of New York In situ plating and soldering of materials covered with a surface film
JP5390808B2 (ja) 2008-08-27 2014-01-15 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP2012059833A (ja) * 2010-09-07 2012-03-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2012062502A (ja) * 2010-09-14 2012-03-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2012124584A1 (ja) * 2011-03-15 2012-09-20 三洋電機株式会社 固体電解コンデンサおよびその製造方法
JP5920867B2 (ja) * 2011-09-29 2016-05-18 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP5898549B2 (ja) * 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5598829B2 (ja) 2012-04-27 2014-10-01 独立行政法人科学技術振興機構 オゾン水を用いたパターニング方法
US9309598B2 (en) * 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
JP6468916B2 (ja) 2015-03-31 2019-02-13 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP6391524B2 (ja) 2015-03-31 2018-09-19 株式会社Screenホールディングス 脱酸素装置および基板処理装置
US10096487B2 (en) * 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
JP2017084965A (ja) * 2015-10-28 2017-05-18 東京エレクトロン株式会社 遷移金属膜のエッチング方法及び基板処理装置
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
WO2017147254A1 (en) * 2016-02-23 2017-08-31 Tokyo Electron Limited Method and system for atomic layer etching

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177102A (ja) * 1990-09-17 1994-06-24 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体表面の清浄化方法および装置
JPH0781902A (ja) * 1993-09-17 1995-03-28 Nec Corp 金属不純物除去方法およびその装置
JP2002075986A (ja) * 2000-08-30 2002-03-15 Oki Electric Ind Co Ltd GaAs系基板の表面処理方法
JP2004207503A (ja) * 2002-12-25 2004-07-22 Canon Inc 処理装置
JP2005191034A (ja) * 2003-12-24 2005-07-14 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2006073945A (ja) * 2004-09-06 2006-03-16 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP2006269668A (ja) * 2005-03-23 2006-10-05 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2009004807A (ja) * 2008-09-12 2009-01-08 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
JP2015141967A (ja) * 2014-01-28 2015-08-03 株式会社Screenホールディングス 基板処理装置
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
WO2016204757A1 (en) * 2015-06-17 2016-12-22 Intel Corporation Transition metal dry etch by atomic layer removal of oxide layers for device fabrication

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507400A (ja) * 2018-11-15 2022-01-18 東京エレクトロン株式会社 自己律速型で有限溶解度の反応を使用した湿式原子層エッチングのための処理システム及びプラットフォーム
JP2022507521A (ja) * 2018-11-15 2022-01-18 東京エレクトロン株式会社 自己律速型で有限溶解度の反応を使用した湿式原子層エッチング
JP7454773B2 (ja) 2018-11-15 2024-03-25 東京エレクトロン株式会社 自己律速型で有限溶解度の反応を使用した湿式原子層エッチングのための処理システム及びプラットフォーム
JP7454774B2 (ja) 2018-11-15 2024-03-25 東京エレクトロン株式会社 自己律速型で有限溶解度の反応を使用した湿式原子層エッチング
JP2021145009A (ja) * 2020-03-11 2021-09-24 株式会社Screenホールディングス 基板処理液、基板処理方法および基板処理装置
JP7449127B2 (ja) 2020-03-11 2024-03-13 株式会社Screenホールディングス 基板処理液、基板処理方法および基板処理装置
WO2022124246A1 (ja) 2020-12-07 2022-06-16 三菱瓦斯化学株式会社 リセスエッチング液、リセスエッチング方法および表面処理された半導体基板の製造方法
KR20230118588A (ko) 2020-12-07 2023-08-11 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 리세스 에칭액, 리세스 에칭방법 및 표면처리된 반도체 기판의 제조방법
KR20230113587A (ko) 2020-12-28 2023-07-31 가부시키가이샤 스크린 홀딩스 배선 형성 방법 및 기판 처리 장치
KR20230125832A (ko) 2021-01-07 2023-08-29 샌트랄 글래스 컴퍼니 리미티드 웨트 에칭 용액 및 웨트 에칭 방법

Also Published As

Publication number Publication date
US20190096721A1 (en) 2019-03-28
KR102179673B1 (ko) 2020-11-17
KR20200131199A (ko) 2020-11-23
CN109545737A (zh) 2019-03-29
US10892177B2 (en) 2021-01-12
JP7034645B2 (ja) 2022-03-14
KR20190034080A (ko) 2019-04-01
KR102243108B1 (ko) 2021-04-21
TWI662616B (zh) 2019-06-11
TW201916157A (zh) 2019-04-16

Similar Documents

Publication Publication Date Title
KR102243108B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP7202230B2 (ja) 基板処理方法および基板処理装置
JP7544899B2 (ja) 基板処理方法および基板処理装置
JP2020155603A (ja) 基板処理方法および基板処理装置
KR102467696B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP2009188205A (ja) 基板処理装置および基板処理方法
EP1508385A1 (en) System for ultraviolet cleaning
WO2022196049A1 (ja) 基板処理方法、および、基板処理装置
JP2022168743A (ja) 基板処理方法、および、基板処理装置
KR20230127864A (ko) 기판 처리액, 기판 처리 방법 및 기판 처리 장치
JP2009238798A (ja) 基板処理方法および基板処理装置
JP2024060140A (ja) 基板処理方法および基板処理装置
JP2023123998A (ja) 基板処理液の精製方法および精製装置
CN117015848A (zh) 基板处理方法及基板处理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210521

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210603

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220302

R150 Certificate of patent or registration of utility model

Ref document number: 7034645

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150