JP2016004866A - 成膜装置、成膜方法、記憶媒体 - Google Patents

成膜装置、成膜方法、記憶媒体 Download PDF

Info

Publication number
JP2016004866A
JP2016004866A JP2014123514A JP2014123514A JP2016004866A JP 2016004866 A JP2016004866 A JP 2016004866A JP 2014123514 A JP2014123514 A JP 2014123514A JP 2014123514 A JP2014123514 A JP 2014123514A JP 2016004866 A JP2016004866 A JP 2016004866A
Authority
JP
Japan
Prior art keywords
processing space
gas
region
ozone
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014123514A
Other languages
English (en)
Other versions
JP6225842B2 (ja
Inventor
和雄 矢部
Kazuo Yabe
和雄 矢部
清水 亮
Akira Shimizu
亮 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014123514A priority Critical patent/JP6225842B2/ja
Priority to US14/731,468 priority patent/US20150361550A1/en
Priority to KR1020150081960A priority patent/KR101885947B1/ko
Priority to TW104119013A priority patent/TWI592511B/zh
Priority to CN201510333848.0A priority patent/CN105200393B/zh
Publication of JP2016004866A publication Critical patent/JP2016004866A/ja
Application granted granted Critical
Publication of JP6225842B2 publication Critical patent/JP6225842B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板に酸化膜を成膜するにあたり、基板を加熱する加熱機構を用いずに良好な性質の酸化膜を得ると共に、処理容器内の過剰な圧力上昇を防ぐこと。
【解決手段】第1の領域及び第2の領域に対してテーブルが相対的に回転することで、基板が第1の領域と第2の領域とに交互に繰り返し位置されるように装置を構成する。第1の領域には原料ガスが供給され、第2の領域においてはテーブルに対して相対的に処理空間形成部材が昇降する。前記処理空間形成部により構成される処理空間には、オゾンを含む雰囲気ガスが供給されると共にオゾンが強制的に分解されるようにエネルギーが供給され、このオゾンの分解により原料の酸化を行う。さらに、処理空間を不活性ガスが供給されるバッファ領域に連通した状態と、バッファ領域から区画された状態とで切り替える区画機構が設けられ、前記分解時の処理空間の圧力上昇を抑える。
【選択図】図2

Description

本発明は、真空雰囲気中で基板に酸化膜を形成する成膜装置、成膜方法及び成膜装置に用いられる記憶媒体に関する。
半導体装置の製造工程においては、基板である半導体ウエハ(以下「ウエハ」と言う)に対してその表面を酸化するプロセスが行われる場合がある。特許文献1及び特許文献2にはこのような酸化を行う技術について記載されている。
特開2007−251071 特開2013−197421
ところで前記酸化が行われるプロセスとしては、例えばALD(Atomic Layer Deposition)が知られており、このALDを用いてウエハの表面にシリコン酸化物(SiO)などの薄膜を形成する処理が行われる場合がある。このようなALDを行う成膜装置では、その内部が真空雰囲気とされる処理容器(真空容器)内にウエハの載置部が設けられる。そして載置されたウエハに対してシリコンの原料を含む原料ガスの供給と、ウエハに吸着された原料の酸化と、が交互に繰り返し複数回行われる。
前記原料の酸化は、ウエハに酸素やオゾンなどの酸化ガスを供給したり、水素及び酸素をウエハに供給して酸素ラジカルを発生させたり、真空容器内に酸素によるプラズマを形成することで行われていた。しかし、前記酸化ガスを供給する場合、当該酸化ガスを前記原料と化学反応させるためにウエハを比較的高い温度に加熱する必要がある。また、酸素ラジカルを発生させる場合は当該ラジカルを発生させるために、同様にウエハを比較的高い温度に加熱する必要がある。前記酸素プラズマを用いる場合は、室温であってもウエハに堆積した原料ガスの成分を酸化することができるが、イオンや電子からなるプラズマ活性種の直進性によって、ウエハのパターンの平面部と側面部とで膜質が異なってしまい、側面部の膜質が平面部の膜質に比べて劣る。そのような理由により、微細なパターンへの適応が困難である。
そのために、従来は成膜装置にヒーターなどの加熱機構を設けている。しかしそのように加熱機構を設けることは装置の製造コストや運用コストが嵩むし、ウエハを真空容器に搬入後、当該ウエハが加熱されて所定の温度に達するまで前記原料の酸化を行えないため、処理時間の短縮化が図り難かった。ところで、前記特許文献1においては、上記の酸化が室温にて行える旨が記載されている。しかし、この引用文献1の手法では酸化を行う際の連鎖分解反応によって、処理容器内の処理空間に急激な圧力上昇が起きる。具体的には、反応前の圧力と比べると、処理空間の圧力は20〜30倍に増加する。従って、実際に成膜装置へ適用することが困難であった。また、特許文献2には、減圧雰囲気に酸素ガス、窒素ガス及び水素ガスを供給して混合することで反応種(原子状酸素)が発生するとしている。しかし、この原子状酸素を生成させるために、各ガスが供給される雰囲気の温度がヒーターにより400℃〜1200℃とされることから、上記の問題を解決できるものではない。
本発明はこのような事情の下になされたものであり、その目的は、基板への原料の吸着と、当該原料の酸化とからなるサイクルを繰り返し行って前記基板に酸化膜を成膜するにあたり、基板を加熱する加熱機構を用いずに前記酸化を十分に行い、良好な性質の酸化膜を得ると共に、処理空間の過剰な圧力上昇を防ぐことができる技術を提供することである。
本発明の成膜装置は、真空容器内に形成された真空雰囲気で、テーブルに載置された基板の表面に酸化物の分子層を積層して薄膜を得る成膜装置において、
前記テーブル上にて周方向に配置される第1の領域及び第2の領域に対して当該テーブルを相対的に回転させ、前記基板を第1の領域と第2の領域とに交互に繰り返し位置させる回転機構と、
前記基板に原料を吸着させるために、前記原料を気体の状態で原料ガスとして前記第1の領域に供給する原料ガス供給部と、
前記第1の領域から隔離された処理空間を前記第2の領域に位置する基板の周囲に形成するために、当該テーブルに対して相対的に昇降する処理空間形成部材と、
前記処理空間に連鎖分解反応を起こす濃度以上の濃度のオゾンを含むオゾン雰囲気を形成するための雰囲気ガスを供給する雰囲気ガス供給部と、
前記オゾン雰囲気にエネルギーを供給してオゾンを強制的に分解させることにより酸素の活性種を発生させ、当該活性種により前記基板の表面に吸着されている原料を酸化して前記酸化物を得るためのエネルギー供給部と、
前記オゾンの分解による前記処理空間の圧力上昇を緩和するために、前記処理空間に接続されるように設けられ、不活性ガスが供給されるバッファ領域と、
前記雰囲気ガスが前記処理空間に供給されるときには当該処理空間に対して前記バッファ領域を区画し、前記オゾンの分解が起きるときには前記処理空間に対して前記バッファ領域を連通させる区画機構と、
を備えることを特徴とする。
本発明によれば、処理空間に強制的な分解反応(連鎖的分解反応)を起こすことが可能なオゾン雰囲気を形成し、この分解反応により発生した酸素の活性種を用いて、基板に吸着された原料を酸化している。基板の表面には前記分解反応により極めて短い時間、比較的大きなエネルギーが加わり、前記活性種と原料とが反応するので、基板をヒーターなどの加熱機構により加熱しなくても前記酸化が十分に行われ、良好な性質の酸化膜を得ることができる。そして、前記分解反応が起きるときには、処理空間は不活性ガスが供給されたバッファ領域に連通しているため、処理空間の過度な圧力上昇を抑えることができる。結果として、基板及び処理空間形成部材の破損や劣化を抑えることができる。
本発明の第1の実施形態に係る成膜装置の縦断側面図である。 前記成膜装置の横断平面図である。 前記成膜装置に設けられる真空容器内の斜視図である。 前記成膜装置に設けられるカバーの縦断側面図である。 前記カバーの下方側斜視図である。 前記カバーによるウエハの酸化処理を示す工程図である。 前記カバーによるウエハの酸化処理を示す工程図である。 前記カバーによるウエハの酸化処理を示す工程図である。 前記カバーによるウエハの酸化処理を示す工程図である。 前記カバーによるウエハの酸化処理を示す工程図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜処理において1枚のウエハの処理工程を示すチャート図である。 本発明の第2の実施形態に係る成膜装置に設けられるフードの縦断側面図である。 前記フードによる処理を示す工程図である。 前記フードによる処理を示す工程図である。 本発明の第3の実施形態に係る成膜装置に設けられるフードの縦断側面図である。 前記フードによる処理を示す工程図である。 前記フードによる処理を示す工程図である。 評価試験の結果を示すグラフ図である。 評価試験の結果を示すグラフ図である。
(第1の実施形態)
本発明の第1の実施形態に係る成膜装置1について、成膜装置1の縦断側面図、横断平面図である図1、図2を参照しながら説明する。この成膜装置1はALDにより、基板であるウエハWに酸化シリコン膜を形成する。成膜装置1は、ウエハWの処理中にその内部が排気され、真空雰囲気とされる真空容器11を備えており、真空容器11は概ね扁平な円形に形成されている。真空容器11の内部は、当該真空容器11の外部から加熱及び冷却がなされない、即ち室温であり、後述の各反応は室温で進行する。ところで図1は、図2の状態から後述の回転テーブル12が若干回転したときにおける、当該図2中のA、A´間に二点鎖線で示す箇所の断面を示している。図3は、真空容器11の内部を示す概略斜視図であり、この図3も適宜参照する。
真空容器11内には、水平な円形の回転テーブル12が設けられており、回転機構13によりその周方向に回転する。この例では図2、図3に矢印で示すように平面視時計回りに回転する。回転テーブル12の表面には、その周方向に6つの円形の凹部14が形成されており、各凹部14内にウエハWが水平に載置される。図中15は、凹部14に形成された貫通孔である。また、回転テーブル12の表面には、各凹部14を囲むようにリング状の溝16が形成されている。
真空容器11内の底面には、回転テーブル12の外側に排気口17、18が開口している。排気口17、18には夫々排気管21の一端が接続され、排気管21の他端は、各々排気量調整部22を介して排気機構23に接続されている。排気機構23は、例えば真空ポンプにより構成されている。排気量調整部22は例えばバルブを含み、排気口17、18からの排気流量を調整し、真空容器11内を所望の圧力の真空雰囲気にすることができる。
図2中、24は真空容器11の側壁に開口したウエハWの搬送口であり、25は、搬送口24を開閉するゲートバルブである。図1中、26は真空容器の底部に設けられる昇降ピンであり、27は昇降機構である。昇降機構27により、昇降ピン28は、搬送口24に臨むように位置する凹部14の貫通孔15を介して、回転テーブル12の表面にて突没することができる。それによって、図2に示すウエハWの搬送機構29と凹部14との間で、ウエハWの受け渡しを行うことができる。
図2に示すように、回転テーブル12上には当該回転テーブル12の回転方向に、ガスシャワーヘッド3A、パージガスノズル4A、フード5A、ガスシャワーヘッド3B、パージガスノズル4B、フード5Bがこの順に構成されている。上記の排気口17は、ガスシャワーヘッド3A、パージガスノズル4Aから各々供給されるガスを排気できるように、真空容器11の周方向に見て、これらガスシャワーヘッド3Aとパージガスノズル4Aとの間に開口している。前記排気口18は、ガスシャワーヘッド3B、パージガスノズル4Bから供給されるガスを排気できるように、前記周方向に見て、これらガスシャワーヘッド3Bとパージガスノズル4Aとの間に開口している。
ガスシャワーヘッド3A、3Bは原料ガス供給部であり、互いに同様に構成されている。代表して、図1に示すガスシャワーヘッド3Aについて説明すると、ガスシャワーヘッド3Aは、真空容器11内に設けられるシャワーヘッド本体31を備え、シャワーヘッド本体31の下面には多数のガス吐出口32が開口している。シャワーヘッド本体31はその内部に扁平な拡散空間33を備えており、拡散空間33を拡散したガスが、ガス吐出口32からシャワーヘッド本体31の下方に位置するウエハWの表面全体に供給される。図中34は、拡散空間33から上方へ伸びるガス供給管であり、真空容器11の天板の上方へ引き出されて、アミノシランガス供給源35に接続されている。
アミノシランガス供給源35は、後述の制御部10からの制御信号を受けて、気体の状態の成膜原料であるアミノシラン(アミノシランガス)を、ガス供給管34を介して拡散空間33に圧送する。前記アミノシランガスとしては、ウエハWに吸着され、酸化されることにより酸化シリコン膜を形成できるものであればよく、この例ではBTBAS(ビスターシャルブチルアミノシラン)ガスが供給される。回転テーブル12上におけるガスシャワーヘッド3A、3Bのシャワーヘッド本体31の下方領域(第1の領域)を、アミノシラン吸着領域30A、30Bとする。
パージガスノズル4A、4Bは互いに同様に構成されており、各々回転テーブル12の径方向に伸びている。図2に示すように、パージガスノズル4A、4Bにおいては、当該径方向に沿って複数のガス吐出口41が下方に開口している。パージガスノズル4A、4Bの上流側は、真空容器11の側壁の外側へ引き出されて、Nガス供給源42に各々接続されており、各Nガス供給源42は、後述の制御部10からの制御信号を受けて、Nガスをパージガスノズル4A、4Bに圧送する。このNガスは、ウエハW表面の余剰のアミノシランをパージする役割を有する。回転テーブル12の回転方向に見て、ガスシャワーヘッド3Aの回転方向下流側からパージガスノズル4Aの下方に至る当該回転テーブル12上の領域を、前記パージが行われるパージ領域40Aとする。また、前記回転方向に見て、ガスシャワーヘッド3Bの回転方向下流側からパージガスノズル4Bの下方に至る当該回転テーブル12上の領域を、前記パージが行われるパージ領域40Bとする。
続いて、フード5A、5Bについて説明する。フード5A、5Bは互いに同様に構成されており、ここでは代表して図1に示すフード5Aについて説明する。フード5Aは、平面視円形の本体部51と、流路形成部52とを備える。本体部51は真空容器11内に設けられており、流路形成部52は、本体部51から上方へ、真空容器11の天板を貫くように真空容器11の外側へ向かって伸びるように構成される。また真空容器11の外側には、区画機構を構成するフード昇降機構53が前記流路形成部52に接続されて設けられており、流路形成部52及び本体部51を昇降させる。また、真空容器11の外側にて前記流路形成部52を囲むようにベローズ52Aが設けられている。ベローズ52Aは、フード5Aの昇降に応じて伸縮し、真空容器11内を真空雰囲気に保持できるように構成される。回転テーブル12上における本体部51が昇降する領域は、第2の領域を構成する。
フード5Aの縦断側面図、下方側斜視図である図4、図5も夫々参照しながら説明を続ける。なお図4、図5を含む図1以外の各図では、便宜上、フード昇降機構53の図示は省略している。本体部51の下方の中央部には、例えば扁平な円形の凹部が形成されており、当該凹部は、ウエハWに吸着されたアミノシランの酸化を行うための処理空間54を構成する。つまり、本体部51は処理空間形成部材である。本体部51には、この処理空間54の中心部にその一端が開口するように、ガス供給路55が設けられている。ガス供給路55の他端は流路形成部52を上方へと伸び、真空容器11の外部に設けられるガス供給管56の下流端に接続されている。ガス供給管56の上流端は分岐し、バルブV1、V2を介して、O(オゾン)ガス供給源57、エネルギー供給部であるNO(一酸化窒素)ガス供給源58に夫々接続されている。
本体部51の下方において処理空間54の外側には、例えば複数の開口部61が、当該本体部51の周方向に沿って、互いに間隔をおいて開口している。各開口部61は、本体部51において処理空間54の上方に設けられるバッファ領域62に接続されており、バッファ領域62は、前記ガス供給路55を囲む扁平なリング状に形成されている。このバッファ領域62にはガス供給路63の一端が開口しており、ガス供給路63の他端は流路形成部52を上方へと伸び、真空容器11の外部に設けられるガス供給管64の下流端に接続されている。ガス供給管64の上流端は、バルブV3を介してAr(アルゴン)ガス供給源59に接続されている。Arガス供給源59、Oガス供給源57及びNO(一酸化窒素)ガス供給源58は、後述の制御部10からの制御信号に従って、各ガスをガス供給管の下流側に向けて圧送できるように構成される。
また、バッファ領域62には排気路65の一端が開口している。排気路65の他端は流路形成部52を上方へと伸び、真空容器11の外部に設けられる排気管66の上流端に接続されている。排気管66の下流端は、排気量調整部22と同様に構成された排気量調整部67を介して、既述の排気機構23に接続されており、当該排気量調整部67によってバッファ領域62の排気量が調整される。ところで、図1に示すようにガス供給管56、64及び排気管66は、ベローズ50を介して夫々流路形成部52に接続されており、フード5Aの昇降が妨げられないように構成されている。図1以外の図では、ベローズ50の図示は省略している。
本体部51には下方側に突出する円環状の突起68が設けられており、突起68は、既述の開口部61及び処理空間54を囲むように設けられている。本体部51が下降したときに、この突起68は回転テーブル12の溝16に係合し、処理空間54を気密に保つことができる。図中、本体部51における突起68の内側の底面を69として示している。また、説明の便宜上、真空容器11内において処理空間54の外側を、既述のアミノシランの吸着を行うための吸着空間60として記載する場合がある。
ところで、雰囲気ガス供給部であるOガス供給源57についてさらに述べておくと、Oガス供給源57は、例えば対酸素比率8〜100vol.%のOガスを、処理空間54に供給することができるように構成される。詳しくは後述するように、この実施形態ではウエハWが搬入された処理空間54をオゾン雰囲気とした状態でNOガスを供給することにより、オゾンを分解させる。この分解は、NOによりオゾンが分解されて酸素のラジカルなどの活性種を発生させ、その活性種が周囲のオゾンを分解させてさらに酸素の活性種を生じさせるように、強制的に起こる連鎖分解反応である。つまり、NOガスが処理空間54に供給されるときには、当該処理空間54の圧力において、前記連鎖分解反応がおきる濃度以上の濃度のOが処理空間54に存在していることが必要であり、そのような雰囲気を処理空間54に形成できるように、Oガス供給源57からOガスが供給される。
成膜装置1は制御部10を備えており、この制御部10は例えば図示しないCPUと記憶部とを備えたコンピュータからなる。この制御部10は、成膜装置1の各部に制御信号を送信し、各バルブVの開閉や排気量調整部22、67による排気流量の調整、各ガス供給源からガス供給管へのガスの供給、昇降機構27による昇降ピン26の昇降、回転駆動機構13による回転テーブル12の回転、及びフード昇降機構53によるフード5A、5Bの昇降などの各動作を制御する。そして、このような制御信号を出力するために、ステップ(命令)群が組まれたプログラムが、前記記憶部に記憶されている。このプログラムは、例えばハードディスク、コンパクトディスク、マグネットオプティカルディスク、メモリーカード等の記憶媒体に格納され、そこからコンピュータにインストールされる。
この成膜装置1による処理の概略を述べると、回転テーブル12が回転することにより、アミノシラン吸着領域30A、パージ領域40A、フード5Aにより処理空間54が形成される領域、アミノシラン吸着領域30B、パージ領域40B、フード5Bにより処理空間54が形成される領域を順次、ウエハWが繰り返し移動する。既述のウエハWへのアミノシランの吸着、ウエハW表面の余剰のアミノシランのパージ、ウエハWに吸着されたアミノシランの酸化(酸化シリコン層の形成)を一つのサイクルとすると、上記のようにウエハWが各領域を移動することで、このサイクルが繰り返し、複数回行われる。それによって、ウエハWに酸化シリコン層が積層され、酸化シリコン膜が形成される。
フード5A、5Bは互いに同様に、上記のアミノシランの酸化を行う。図6〜図10を参照しながら、フード5Aによるアミノシランの酸化のプロセスについて説明する。これらの図では、フード5Aの処理空間54及びバッファ領域62におけるガスの流れを矢印で示す。また、ガス供給管及び排気管において、ガスが流れている場合には、ガスが流れていない場合よりも太く示すと共に、必要に応じてバルブの近傍に開閉状態を示すために開または閉の文字を付す。フード5AによるウエハWの処理時には、排気口17、18からの排気により、真空容器11内の吸着空間60は、例えば1Torr(0.13×10Pa)〜10Torr(1.3×10Pa)とされる。これは、アミノシランガスからパーティクルが発生せずに上記の吸着を行うための圧力であり、この処理例では3Torr(0.39×10Pa)にされるものとする。
回転テーブル12の回転により、パージ領域40Aから移動したウエハWがフード5Aの本体部51の下方に位置すると、回転テーブル12の回転が停止する。このときフード5Aの各バルブV1〜V3は閉鎖され、且つ排気量調整部67によるバッファ領域62の排気が停止されている。前記回転テーブル12の回転停止後、当該本体部51が下降し、突起68が回転テーブル12の溝16に進入して、当該溝16に係合する。それによって、本体部51の処理空間54が、吸着空間60から隔離された気密な空間となる。さらに本体部51が下降して、当該本体部51の底面69が回転テーブル12の表面に密着し、処理空間54がバッファ領域62から区画された状態となる(ステップS1、図6)。
然る後、バルブV1が開かれ、ガス供給路55及び処理空間54にOガスが供給されて、当該ガス供給路55及び処理空間54のOの濃度が上昇する。このOガスの供給に並行してバルブV3が開かれ、バッファ領域62にArガスが供給されると共に、排気量調整部67によるバッファ領域62が排気される(ステップS2、図7)。ガス供給路55及び処理空間54の圧力が例えば50Torrになると、バルブV1が閉じられて、当該ガス供給路55及び処理空間54にOガスが封入される。このときのガス供給路55及び処理空間54のオゾンの濃度は、後のステップで流路形成部52に処理空間54にNOガスが供給されるときに、既述の連鎖分解反応が発生する限界以上の濃度とされる。また、バッファ領域62の圧力についても、例えば前記処理空間54と同じ50Torr(6.5×10Pa)とされる。
然る後、本体部51が若干上昇し、本体部51の底面69が回転テーブル12の表面から浮き上がることで隙間が形成され、この隙間を介して処理空間54とバッファ領域62とが連通する(ステップS3、図8)。このとき突起68は、テーブル12の溝16の底面から浮き上がるが、当該溝16内に収まっており、処理空間54は引き続き吸着空間60から隔離され、気密に保たれる。このように処理空間54とバッファ領域62とを連通させても、バッファ領域62と処理空間54とが互いに同じ圧力であるため、バッファ領域62のArガスの処理空間54への流入、及び処理空間54のOガスのバッファ領域62への流入が共に抑えられる。つまり、前記隙間が形成されても、Oガスは処理空間54に封じ込められたままの状態とされ、ガス供給路55及び処理空間54のOガスの濃度は、上記の連鎖分解反応が発生する限界以上の濃度に保たれる。
然る後、バルブV2が開かれてNOガスがガス供給路55に供給され、当該ガス供給路55のOと接触し、Oが着火され、既述のようにOの強制的な分解反応(燃焼反応)が起こる。ガス供給路55から処理空間54内へと、ごく僅かな時間内に分解が連鎖的に進み、発生した酸素の活性種がウエハW表面に吸着したアミノシランの分子層と反応して、当該アミノシランを酸化する。それによって、酸化シリコンの分子層が形成される。ところで、このオゾンの強制的な連鎖分解は瞬時に進行するため、処理空間54内に急激に活性種の量が増大する。即ち、処理空間54内でガスの急激な膨張が起きることになる。しかし、上記のように処理空間54とバッファ領域62とが連通しているため、そのように膨張したガスはバッファ領域62へと流れ、処理空間54の圧力が過剰になることが防がれる(ステップS4、図9)。
前記活性種は不安定であるため、発生から例えば数ミリ秒経過すると酸素に変化し、アミノシランの酸化が終了する。バルブV2、V3が閉じられ、バッファ領域62、処理空間54、ガス供給路55が排気され、残留する酸素が除去される(ステップS5、図10)。然る後、排気量調整部67による排気が停止し、本体部51が上昇する。本体部51の突起68が回転テーブル12の溝16から外れ、それによって突起68と溝16との係合が解除されて、処理空間54が吸着空間60に開放される。そして本体部51は、図4に示す位置で静止する(ステップS6)。然る後、回転テーブル12が回転し、ウエハWはガスシャワーヘッド3Bの下方のアミノシラン吸着領域30Bへ向けて移動する。
ここで、上記のようにウエハWへのアミノシランの吸着、パージ、前記アミノシランの酸化を1サイクルとしたときの、2回目以降のサイクルにおけるウエハWの表面状態の変化について、図11〜図16の模式図を参照しながら説明する。図11は、あるサイクルが開始される直前の状態を示し、図12は、ウエハW表面にアミノシラン(BTBAS)の分子72が吸着した状態を示している。各図中の71は、既にウエハWに形成された酸化シリコン層を構成する分子である。図13は、既述の図7のステップS2で説明したように、処理空間54及びガス供給路55にオゾンガスが供給された状態を示し、オゾンの分子を73で示している。
図14は、その後のステップS4において、NOガスがガス供給路55に供給された瞬間を示している。上記のようにNOとオゾンとが化学反応を起こし、オゾンにエネルギーが与えられ、オゾンが強制的に分解されて酸素の活性種74を生じる。そして活性種74によりオゾンが強制的に分解され、生じた活性種74によりさらにオゾンが分解される。既述のように、この一連の連鎖分解反応は瞬間的に進行して、活性種74が発生する(図15)。
そして、このオゾンの連鎖分解反応が起きる処理空間54に曝されているアミノシランの分子72には、当該連鎖分解反応で放出された熱及び光のエネルギーが加わり、当該分子72のエネルギーが瞬間的に上昇して、当該分子72の温度が上昇する。そして、このように温度が上昇して活性化されたアミノシランの分子72の周囲には、当該分子72と反応可能な活性種74が存在するので、これら分子72と酸素の活性種74との反応が起きる。つまりアミノシラン分子72が酸化されて、酸化シリコンの分子71が生じる(図16)。
このようにオゾンの連鎖分解反応により発生するエネルギーをアミノシランの分子72が受けることになるので、背景技術で説明したようなヒーターによるウエハWの加熱を行わなくても、当該アミノシランの酸化を行うことができる。図11〜図16では、2回目以降のサイクルで、アミノシランの分子72が酸化される様子を示しているが、1回目のサイクルでも同様に、オゾンの分解によるエネルギーがアミノシランの分子72に加わり、当該分子72が酸化される。
続いて、成膜装置1の全体の動作について図17〜図25を参照しながら説明する。この動作を説明するにあたり、説明の複雑化を防ぐために、回転テーブル12に載置されたウエハWについて、時計回りに順番にW1〜W6の符号を付して示す。また、ウエハW1〜W6のうち、代表してウエハW1についての位置、当該位置で受ける処理、処理の順番及び回転テーブル12の回転状況をまとめて表したチャートを図26に示す。
図17は処理開始前の状態を示している。この状態では、回転テーブル12は静止しており、ウエハW1、W4が、夫々ガスシャワーヘッド3A、3Bの下方のアミノシラン吸着領域30A、30Bに位置し、ウエハW3、W6が、夫々フード5A、5Bの下方に位置している。この状態から、排気口17、18による排気が行われると共に、パージガスノズル4A、4BからNガスが供給され、真空容器11内が、既述のように例えば3Torrとされる。パージガスノズル4Aから供給されるNガスは、パージ領域40Aを通過し、当該パージ領域40Aに近い排気口17から排気される。パージガスノズル4Bから供給されるNガスは、パージ領域40Bを通過し、当該パージ領域40Bに近い排気口18から排気される。
そして、ガスシャワーヘッド3A、3Bからアミノシラン吸着領域30A、30Bに夫々アミノシランガスが供給され、ウエハW1、W4の表面にアミノシランが吸着される(図18、図26中、ステップS11)。ガスシャワーヘッド3A、3BからウエハW1、W4に夫々供給された余剰のアミノシランガスは、ガスシャワーヘッド3A、3Bの近傍の排気口17、18から夫々排気される。
アミノシラン吸着領域30A、30Bへのアミノシランガスの供給が停止し、回転テーブル12が回転する。ウエハW1、W4はパージ領域40A、40Bへ夫々移動し、その表面の余剰のアミノシランがパージされる(図19、図26中、ステップS12)。回転テーブル12の回転が続けられ、ウエハW6、W3が、アミノシラン吸着領域30A、30Bに夫々位置すると、当該回転が停止し、アミノシラン吸着領域30A、30Bにアミノシランガスが供給され、これらのウエハW3、W6に夫々アミノシランが吸着される(図20)。そして、アミノシラン吸着領域30A、30Bへの各アミノシランガスの供給停止後、回転テーブル12が回転し、ウエハW6、W3が夫々パージ領域40A、40Bに移動して、ウエハW3、W6から余剰のアミノシランがパージされる。然る後、ウエハW1、W4がフード5A、5Bの下方に夫々位置すると共に、ウエハW5、W2がアミノシラン吸着領域30A、30Bに夫々位置すると、回転テーブル12の回転が停止する。
アミノシラン吸着領域30A、30Bに夫々アミノシランガスが供給され、ウエハW5、W2にアミノシランが吸着される。このアミノシランガスの供給に並行して、フード5A、5Bの下降、各フード5A、5Bの処理空間54へのOガスの供給及びバッファ領域62へのArガスの供給、前記処理空間54とバッファ領域62との連通、処理空間54へのNOガスの供給が順次行われる(図21、図26中、ステップS13)。つまり、図6〜図9で説明したステップS1〜S4が行われ、連鎖分解反応によりウエハW1、W4に吸着されたアミノシランから酸化シリコン層が形成される。
然る後、処理空間54及びバッファ領域62の排気、フード5A、5Bの上昇が行われる。つまり、図10に示したステップS5と既述のステップS6(不図示)が行われる。この一連のステップS1〜S6が行われる間に、アミノシラン吸着領域30A、30Bにおける各アミノシランガスの供給は停止し、前記フード5A、5Bの上昇後、つまりステップS6終了後に回転テーブル12が回転する(図26中、ステップS14)。この時点において、ウエハW1、W4については既述のサイクルの1回目が終了していることになる。
その後、ウエハW5、W2がパージ領域40A、40Bに夫々移動して、余剰のアミノシランがパージされる。そして、ウエハW6、W3がフード5A、5Bの下方に夫々位置すると共に、ウエハW4、W1がアミノシラン吸着領域30A、30Bに夫々位置すると、回転テーブル12の回転が停止する。然る後、既述のステップS1〜S6が行われ、ウエハW3、W6に吸着されたアミノシランが酸化される。この酸化処理に並行して、アミノシラン吸着領域30A、30Bにおいてアミノシランガスの供給、当該ガスの供給停止が順に行われ、ウエハW1、W4について、既に成膜された酸化シリコン層上にアミノシランが吸着される(図22、図26中、ステップS15)。つまり、ウエハW1、W4には既述のサイクルの2回目が開始され、ウエハW3、W6については1回目のサイクルが終了することになる。
然る後、回転テーブル12が回転し、ウエハW4、W1がパージ領域40A、40Bへ夫々移動し、余剰のアミノシランがパージされる(図26中、ステップS16)。そして、ウエハW5、W2がフード5A、5Bの下方に夫々位置すると共に、ウエハW3、W6がアミノシラン吸着領域30A、30Bに夫々位置すると、回転テーブル12の回転が停止する。そして、ウエハW2、W5についてはステップS1〜S6に従って、吸着されたアミノシランの酸化が行われる。このステップS1〜S6の実施中に、アミノシラン吸着領域30A、30Bにおけるアミノシランガスの供給、当該ガスの供給停止が順次行われ、ウエハW3、W6にアミノシランが吸着される(図23)。つまり、ウエハW3、W6については既述のサイクルの2回目が開始され、ウエハW2、W5については1回目のサイクルが終了する。
然る後、回転テーブル12が回転し、ウエハW3、W6がパージ領域40A、40Bへ夫々移動し、余剰のアミノシランがパージされる。そして、ウエハW4、W1がフード5A、5Bの下方に夫々位置すると共に、ウエハW2、W5がアミノシラン吸着領域30A、30Bに夫々位置すると、回転テーブル12の回転が停止する。そして、既述のように、各フード5A、5Bの処理空間54へのOガスの供給及びバッファ領域62へのArガスの供給、処理空間54とバッファ領域62との連通、NOガスの供給が順次行われ(図26中、ステップS17)、続いて、処理空間54及びバッファ領域62の排気、フード5A、5Bの上昇が行われる(図26中、ステップS18)。つまり、既述のステップS1〜S6が行われ、ウエハW1、W4には酸化シリコン層が積層される。このステップS1〜S6の実施中に、アミノシラン吸着領域30A、30Bにおけるアミノシランガスの供給、当該ガスの供給停止が順に行われ、ウエハW2、W5にアミノシランが吸着される(図24)。前記フード5A、5Bの上昇後に回転テーブル12が回転する。つまり、ウエハW2、W5について、既述のサイクルの2回目が開始され、ウエハW1、W4については2回目のサイクルが終了したことになる。
その後、回転テーブル12が回転し、ウエハW2、W5がパージ領域40B、40Aへ夫々移動し、余剰のアミノシランがパージされる。そして、ウエハW3、W6が各々フード5A、5Bの下方に夫々位置すると共に、ウエハW1、W4がアミノシラン吸着領域30A、30Bに夫々位置すると、回転テーブル12の回転が停止する。そして、ウエハW3、W6にはステップS1〜S6の酸化処理が行われる。その一方で、ウエハW1、W4には、アミノシランが吸着される(図25)。従って、ウエハW1、W4には既述のサイクルの3回目が開始され、ウエハW3、W6については2回目のサイクルが終了したことになる。
これ以降のウエハWの処理の詳細については省略するが、ウエハW1〜W6は、引き続き回転テーブル12の回転によって、アミノシラン吸着領域30Aまたは30B、パージ領域40Aまたは40B、フード5Aまたは5Bの下方を順番に移動し、処理を受ける。その際には、ウエハW1〜W6のうち2枚にアミノシランの吸着が行われることに並行して、ウエハW1〜W6のうち他の2枚に酸化処理が行われる。そして、各ウエハWについて所定の回数のサイクルが終了し、所望の膜厚の酸化シリコン膜が形成されると、ウエハW1〜W6は成膜装置1から搬出される。
この成膜装置1によれば、既述のようにフード5A、5Bと回転テーブル12とにより構成される処理空間54に比較的高い濃度のオゾン雰囲気を形成し、室温にてこのオゾンをNOガスにより連鎖分解させ、この連鎖分解により生じた活性種によりウエハW表面のアミノシランを酸化させて酸化膜を形成する。後述する評価試験で示すように、このように形成した酸化膜は、ウエハWを加熱して形成した酸化膜と同様の膜質を有している。従って、この成膜装置1には、酸化を行うためにウエハWを加熱するためのヒーターなどを設ける必要が無いので、当該成膜装置1の製造コスト及び運用コストの削減を図ることができる。また、前記ヒーターによりウエハWが所定の温度になることを待たずに、アミノシランの酸化を行うことができる。従って、成膜処理に要する時間を短縮し、スループットの向上を図ることができる。さらに、比較的小さい容積を有する処理空間54にOガスを封入し、前記連鎖分解反応を行うときには、この処理空間54を不活性ガスが供給されたバッファ領域62に連通させているので、連鎖分解反応が起きる領域が処理空間54に限定される。つまり、処理空間54で急激に膨張したガスをバッファ領域62へと逃がし、処理空間54の圧力上昇を緩和させることができる。従って、前記圧力上昇によるウエハWの破損や劣化を抑えることができる。また、処理空間54を形成するフード5A、5Bについても、ウエハWと同様に破損や劣化を抑えることができる。言い換えれば、フード5A、5Bの耐圧性を高くする必要が無いので、その構成を簡素にすることができ、製造コストの上昇を抑えることができる。また、成膜装置1においては、2枚のウエハWにアミノシランの吸着が行われることに並行して、他の2枚のウエハWに酸化処理が行われる。このように互いに異なる処理が並行して行われるため、装置の生産性を高くすることができる利点がある。
また、アミノシランガスをウエハWに供給するときは、処理空間54はバッファ領域62から区画されている。つまり処理空間54の容積が小さく抑えられているので、当該処理空間54に供給されるアミノシランガスの濃度の低下を抑えることができる。言い換えれば、ウエハWへアミノシランを吸着させるにあたり、アミノシランガスの濃度を高くする必要がないため、装置の運用コストの上昇を抑えることができる。
上記の成膜装置1において、処理空間54に開口するガス供給路55は、回転テーブル12に載置されるウエハW表面に対向して設けられる。既述のようにオゾンの分解反応は瞬間的に進行するが、このようにガス供給路55が開口していることで、その僅かな時間内に当該分解反応は処理空間54を上方から下方へ向かって伝搬する。このように反応が伝搬することで、ウエハWは下方へ向かう力を受けて回転テーブル12に押し付けられ、当該回転テーブル12に固定された状態で既述の酸化が行われる。つまり、オゾンの連鎖分解反応による処理空間54の圧力変化によって、ウエハWが回転テーブル12の凹部14から離脱してしまうことを防ぐことができる。
また、前記ガス供給路55は、処理空間54の中心部に開口しているので、処理空間54の周方向において、連鎖分解反応により均一性高く圧力上昇が起きる。即ち、特定の箇所に偏って大きな圧力が加わることが抑えられるので、フード5A、5Bの破損がより確実に抑えられる。処理空間54の形状は、そのように局所的に圧力が高くなることが防がれるように構成されればよく、既述の例に限られない。例えば上方へ向かって突出する凸レンズ状に処理空間54を構成してもよい。
上記の処理例では図8のステップS3でフード5A、5Bを上昇させるときに、処理空間54とバッファ領域62とを同じ圧力にし、処理空間54とバッファ領域62との間でガス流が形成されることを抑え、ステップS4におけるNOガスの供給時に処理空間54のOガスの濃度が、より確実に連鎖分解反応が発生する濃度に保たれるようにしている。ただし、このNOガス供給時に処理空間54のオゾン濃度が連鎖分解反応を発生させることができる濃度に保たれれば、処理空間54とバッファ領域62との間でガス流が発生してもよい。つまり、ステップS3のフード5A、5Bの上昇時に、処理空間54とバッファ領域62との圧力が異なっていてもよい。
上記の処理例では、前記連鎖分解反応が起きる雰囲気を形成するために、ステップS2、S3で処理空間54及びガス供給路55の圧力を50Torrにしているが、このような圧力に設定することに限られず、連鎖分解反応を起こすことが可能であれば、それよりも低い圧力、例えば20Torr〜30Torrの圧力であってもよい。このステップS2、S3における処理空間54の圧力が高いほど、連鎖分解反応を起こすために必要な処理空間54及びガス供給路55のオゾンの濃度は低くなる。しかし、前記ステップS2、S3における処理空間54及びガス供給路55の圧力が高いほど、連鎖分解反応時の処理空間54、ガス供給路55及びバッファ領域62の圧力が高くなる。連鎖分解反応時においても、処理空間54、ガス供給路55及びバッファ領域62が大気圧よりも低い雰囲気、即ち真空雰囲気に維持され、フード5A、5B及びウエハWが破損しないように、ステップS2、S3における処理空間54の圧力が設定される。
ところで、上記の成膜装置1において、真空容器11内の天井とフード5A、5Bの本体部51の上部との間にバネを設けてもよい。バネは、前記本体部51を回転テーブル12へ付勢し、フード昇降機構53は、このバネの付勢力に抗して、フード5A、5Bを上昇させて、回転テーブル12が回転できるように構成される。そして既述のステップS1〜S3では、バネにより本体部51が回転テーブル12に付勢され、回転テーブル12に密着して、吸着空間60から処理空間54が区画される。そして、ステップS4において連鎖分解反応が起きて処理空間54の圧力が上昇すると、その圧力上昇により、前記バネの付勢力に抗してフード5A、5Bが、図9で示したバッファ領域62と処理空間54とが連通する高さに上昇する。このような構成であっても、連鎖分解反応時に処理空間54のガスがバッファ領域62へと拡散することができるので、処理空間54の圧力上昇を緩和させることができる。その後のステップS5の排気時には、図10で示した処理空間54とバッファ領域62とが連通する高さに前記本体部51が位置し、排気終了後のステップS6では回転テーブル12が回転できるように、図4で示した位置に本体部51が位置するように、フード昇降機構53により本体部51が移動される。
上記の成膜装置1では、フード5A、5Bを回転テーブル12に対して昇降させることで、処理空間54とバッファ領域62とが連通した状態と、互いに区画された状態とを切り替えているが、回転テーブル12をフード5A、5Bに対して昇降させる昇降機構を設けることで、これら各状態の切り替えを行うようにしてもよい。また、回転テーブル12を回転させず、代わりにガスシャワーヘッド3A、3B、パージガスノズル4A、4B及びフード5A、5Bをテーブル12に対して回転させる回転機構を設けることで、ウエハWを、アミノシラン吸着領域30A、30B、パージ領域40A、40B、フード5A、5Bの下方の間で移動させ、既述の各処理が行われるようにしてもよい。また処理空間54を区画するための突起68については回転テーブル12に設け、溝16についてはフード5A、5Bに設けることで、処理空間54の区画を行うようにしてもよい。
上記のステップS3、S4、即ち処理空間54とバッファ領域62とが連通するとき及び連鎖分解反応が起きるときには、バッファ領域62へのArガス供給及びバッファ領域62からの排気が行われず、バッファ領域62にArガスが封入された状態となっていてもよい。また、バッファ領域62に供給されるガスは不活性ガスであればよく、Nガスなどであってもよい。また、NOガスの供給路O3ガスの供給路は、上記の例のように共通化することには限られず、個別に設けてもよい。
(第2の実施形態)
続いて第2の実施形態に係る成膜装置について説明する。この成膜装置は、フード5A、5Bの代わりに、図27に示すフード8を備える。このフード8について、フード5A、5Bとの差異点を中心に説明する。このフード8の本体部51には突起68、開口部61及びバッファ領域62が設けられていない。なお、前記突起68が設けられないため、回転テーブル12においては、突起68に係合する溝16が設けられていない。
また、フード8に設けられる排気路65の一端は、処理空間54に開口しており、排気路65の他端は、流路形成部52を上方に伸び、真空容器11の外側に設けられる排気管81の一端に接続されている。排気管81の他端は、バッファタンク82内のバッファ領域83に開口している。つまり、排気管81を介して処理空間54とバッファ領域83とが連結されている。排気管81には、区画機構を構成するバルブV4が介設されている。また、Arガス供給源57に接続されるガス供給管56の下流端が、前記バッファ領域83に開口している。さらにバッファ領域83には、排気管66の上流端が開口している。図示は省略しているが、このフード8は、フード5A、5Bと同様にフード昇降機構53に接続され、昇降することができる。
このフード8の作用について、フード5Aの作用との違いを中心に説明すると、本体部51が下降し、本体部51の底面69が回転テーブル12に密着して、処理空間54が吸着空間60から気密に区画された状態で、フード5Aと同様に処理空間54にOガスが供給される。その一方で、Arガス供給源57からバッファ領域83にArガスが供給されると共に、排気量調整部67によりバッファ領域83が排気される。このときバルブV4は閉鎖され、処理空間54とバッファ領域83とは区画されている。図27は、そのように処理空間54とバッファ領域83とが区画された状態を示している。
バッファ領域83及び処理空間54の圧力が、例えば共に50Torrとなると、処理空間54へのOガスの供給が停止すると共に、バルブV4が開かれ、処理空間54とバッファ領域83とが連通する。処理空間54の圧力がバッファ領域83の圧力と等しいため、第1の実施形態と同様、バッファ領域83と処理空間54との間でガス流が形成されることが抑えられ、処理空間54のOの濃度が、連鎖分解反応を起こすことができる濃度に維持される(図28)。然る後、第1の実施形態のステップS4と同様に、ガス供給路55及び処理空間54にNOガスが供給されて、Oの連鎖分解反応が起こる(図29)。上記のように処理空間54とバッファ領域83とが連通しているため、処理空間54の反応生成物は、バッファ領域83へと拡散することができるので、処理空間54の圧力上昇が緩和される。
その後、バルブV3が閉じられ、バッファ領域83へのArガス供給が停止し、処理空間54、ガス供給路55、排気路65、排気管81、バッファ領域83が排気され、これら各部に残留する反応生成物(酸素)が除去される。然る後、排気量調整部67によりこれら各部の排気が停止し、回転テーブル12が回転できるようにフード8が上昇する。このようなフード8が設けられた第2の実施形態の成膜装置についても各反応が室温で行われ、さらに既述のように処理空間54の圧力上昇を緩和することができるので、第1の実施形態の成膜装置1と同様の効果が得られる。
(第3の実施形態)
続いて第3の実施形態の成膜装置について説明する。この成膜装置は、フード8と略同様に構成されたフード9を備えていることを除いて、既述の各成膜装置と同様に構成される。フード9について、図30を参照しながらフード8との差異点を中心に説明する。このフード9は、バッファタンク82に接続されておらず、第2の実施形態でバッファタンク82に接続されていた排気管81の下流端は、バルブV4、排気量調整部67をこの順に介して排気機構23に接続されている。そして、Arガスの供給管56の下流端が、排気管81におけるバルブV4と、排気量調整部67との間に接続されている。
このフード9の作用について、フード8の作用との違いを中心に説明すると、本体部51が下降し、その底面69が回転テーブル12に密着して、処理空間54が吸着空間60から気密に区画された状態で、フード8と同様に処理空間54にOガスが供給される。その一方で、Arガス供給源57から排気管81にArガスが供給されると共に、排気量調整部67による排気が行われる(図30)。このときバルブV4は閉鎖され、処理空間54は、排気管81のバルブV4の下流側に対して区画されている。
処理空間54の圧力が例えば50Torrとなり、排気管81のバルブV4の下流側の圧力も例えば50Torrとなると、処理空間54へのOガスの供給が停止すると共に、バルブV4が開かれる。それによって、処理空間54と排気管81のバルブV4の下流側とが連通する。処理空間54の圧力が排気管81のバルブV4の下流側の圧力と等しいため、他の実施形態と同じく、処理空間54にOが封入され、Oの濃度が、連鎖分解反応を起こすことができる濃度に維持される(図31)。然る後、ガス供給路55及び処理空間54にNOガスが供給されてOの連鎖分解反応が起こる(図32)。上記のように処理空間54と処理空間54の反応生成物は、排気管81へ拡散することができるので、処理空間54の圧力上昇が緩和される。つまり、この例では、排気管81のバルブV4の下流側が、第1及び第2の実施形態におけるバッファ領域の役割を兼ねている。
その後、バルブV3が閉じられ、排気管81へのArガス供給が停止し、処理空間54、ガス供給路55、排気路65、排気管81が排気され、これら各部に残留する反応生成物(酸素)が除去される。然る後、排気量調整部67によりこれら各部の排気が停止し、回転テーブル12が回転できるようにフード9が上昇する。このようなフード9が設けられた第3の実施形態の成膜装置についても、第1及び第2の成膜装置と同様の効果が得られる。
既述の各実施形態ではNOとオゾンとの化学反応により、オゾンにエネルギーを供給して既述の連鎖分解反応を開始させているが、この連鎖分解反応が開始されるようにエネルギーを供給することができれば、当該化学反応を起こすことには限られない。例えば、処理空間54にレーザー光線を照射できるように各フードまたは回転テーブル12にレーザー光線照射部を設ける。そして、当該レーザー光線の照射によりオゾンにエネルギーを与えて、前記連鎖分解反応を開始させてもよい。また、各フードまたは回転テーブル12に電極を設け、当該電極に電圧を印加し、放電を起こせるように構成する。この放電のエネルギーを与えることにより、前記連鎖分解反応が開始されるようにしてもよい。ただし、装置の構成を簡素にする観点と、前記放電用の電極を構成する金属がウエハWに飛散することを防ぐ観点から、上記のような化学反応を起こすことで前記連鎖分解反応を起こすことが好ましい。エネルギーを与えるためのガスとしては、既述の連鎖分解反応が起こせればNOガスを用いることには限られない。
ところで、例えば上記の成膜装置1でアンモニアガス、メタンガス、ジボランガスなどをオゾンガスと共に処理空間54に供給しておき、そのような状態でNOガスを処理空間54に供給してもよい。Oが分解されるときにこれらのガスも分解されてアミノシランと化学反応し、これらのガスを構成する元素がドープされた酸化シリコン膜を形成することができる。具体的には、アンモニア、メタンガス、ジボランガスを処理空間54に供給することで、夫々N(窒素)、C(炭素)、B(ホウ素)がドープされた酸化シリコン膜を形成することができる。各実施形態でこのようなドープを行う場合は、処理空間54を気密に構成した後、NOガスを処理空間54に供給するまでに、上記のドープ用の各ガスを処理空間54に供給する。このドープ用の各ガスの供給にあたっては、例えば各フードに設けられるガス供給路55を用いることができる。
上記の実施の形態に適用される原料ガスとしては、上述のように酸化シリコン膜を形成するものに限られない。例えばTMA[トリメチルアルミニウム]、TEMHF[テトラキスエチルメチルアミノハフニウム]、Sr(THD)[ストロンチウムビステトラメチルヘプタンジオナト]、Ti(MPD)(THD)[チタニウムメチルペンタンジオナトビステトラメチルヘプタンジオナト]などを用いて、酸化アルミニウム、酸化ハフニウム、酸化ストロンチウム、酸化チタニウムなどを成膜するようにしてもよい。
評価試験
本発明に関連して行われた評価試験について説明する。評価試験1として、各実施形態で説明したように、室温で真空容器内の処理空間に各種のガスを供給して、既述のアミノシランの吸着、ウエハW表面のパージ、オゾンの連鎖分解反応によるアミノシランの酸化からなるサイクルを繰り返し行い、ウエハWに酸化シリコン膜を形成した。そして、この装置を用いて形成された酸化シリコン膜をウエットエッチングし、エッチングレートを測定した。この評価試験1においてはウエハWの一端側のエッチングレート、他端側のエッチングレートを夫々測定した。なお、この評価試験1で用いた成膜装置は、各実施形態で説明した成膜装置とは異なり、真空容器に1枚のウエハWを搬入し、当該ウエハWについて処理を行う枚葉式処理装置であり、真空容器内におけるフードの昇降による区画された領域の形成は行われない。
比較試験1−1として、真空容器内で酸素ガスをプラズマ化できる成膜装置を用いてウエハWに酸化シリコン膜の成膜を行った。より詳しく説明すると、この成膜装置は、評価試験1で用いた装置と同じく真空容器内へ原料ガスの供給を行うことができることに加えて、真空容器内へ供給された酸素をプラズマ化することができる。そして、前記原料ガスの供給と、前記プラズマ化による原料の酸化とを交互に行うことで、前記成膜を行うことができる。この比較試験1−1は、評価試験1と同じく室温で前記酸化を行った。成膜後は評価試験1と同様に酸化シリコン膜のウエットエッチングを行い、エッチングレートを測定した。
比較試験1−2として、真空容器内のウエハWをヒーターにより所定の温度に加熱しながら、当該ウエハWに前記成膜原料ガスとオゾンガスとを交互に繰り返し供給し、ウエハWに酸化シリコン膜を形成した。つまり、この比較試験1−2では、上記のオゾンの連鎖分解反応を行わず、ウエハWを加熱することでウエハWに熱エネルギーを与え、ウエハWに吸着したアミノシランをオゾンにより酸化させている。成膜後は、他の各試験と同様にエッチングレートを測定した。
図33は、評価試験1及び各比較試験のエッチングレートの測定結果を示すグラフであり、縦軸が前記エッチングレート(単位:Å/分)を示している。グラフに示されるように、評価試験1のウエハWについては、一端側のエッチングレートが4.8Å/分、他端側のエッチングレートが3.4Å/分と、略同様の値となっている。そして、比較試験1−1のエッチングレートは、54.2Å/分であり、比較試験1−2のエッチングレートは、4.7Å/分であった。つまり、評価試験1のエッチングレートは、同じ室温で処理を行った比較試験1−1のエッチングレートよりも明らかに低く抑えられており、酸化を行うためにヒーターによる加熱を行った比較試験1−2のエッチングレートと略同じである。つまり、評価試験1では、成膜中に加熱を行って形成した酸化シリコン膜と、略同等の膜質を持つ酸化シリコン膜が形成されていることが示された。従ってこの評価試験の結果から、上記の実施形態で説明したように、本発明の手法を用いることで、ヒーターによる加熱を行わなくても良好な膜質を有する酸化シリコン膜を形成できることが示された。
続いて、上記の実施形態に従って処理を行うことで形成される酸化シリコン膜の熱履歴について調べた評価試験2について説明する。この評価試験2では、シリコンからなる複数の基板に、イオンインプランテーションによって各々P(リン)を注入した。このイオンインプランテーションは、2keV、1E15ions/cmで行った。そして、前記Pを注入した基板について、上記の評価試験1で用いた成膜装置を使用して酸化シリコン膜の形成を行った。この酸化シリコン膜を形成するにあたり、上記のサイクルは100回行った。また、各サイクルのステップS3では真空容器内の処理空間のオゾン濃度が77.7vol%となるようにオゾンガスを供給した。そして、酸化シリコン膜の形成後、当該酸化シリコン膜の抵抗値を測定した。また、上記のPを注入した基板の内、前記酸化シリコン膜を形成していないものについては、リファレンスとして互いに異なる温度で5分間加熱処理を行った。加熱処理後、これらリファレンスの抵抗値を測定した。
図34は、この評価試験2の結果を示すグラフである。黒く塗りつぶしたプロットがリファレンスの抵抗値であり、白抜きのプロットが成膜装置1で成膜した酸化シリコン膜の抵抗値である。グラフに示されるように上記の酸化シリコン膜の抵抗値は、200℃で加熱されたリファレンスの抵抗値に相当する。つまり、実施形態で説明したサイクルを100回行うことは、基板に200℃の熱を5分間加えることに相当する。即ち、上記の連鎖分解反応によって、基板には熱が加えられており、実施形態で説明したように、このように熱が加えられることにより、既述したようにヒーターなどによって基板を加熱することなく、アミノシランの酸化を行うことができることが推測される。
W ウエハ
1 成膜装置
10 制御部
12 回転テーブル
30A、30B アミノシラン吸着領域
35 アミノシランガス供給部
40A、40B パージ領域
5A、5B フード
51 本体部
54 処理空間
57 O3ガス供給部
58 NOガス供給部
62 バッファ領域
65 Arガス供給部
67 排気量調整部

Claims (13)

  1. 真空容器内に形成された真空雰囲気で、テーブルに載置された基板の表面に酸化物の分子層を積層して薄膜を得る成膜装置において、
    前記テーブル上にて周方向に配置される第1の領域及び第2の領域に対して当該テーブルを相対的に回転させ、前記基板を第1の領域と第2の領域とに交互に繰り返し位置させる回転機構と、
    前記基板に原料を吸着させるために、前記原料を気体の状態で原料ガスとして前記第1の領域に供給する原料ガス供給部と、
    前記第1の領域から隔離された処理空間を前記第2の領域に位置する基板の周囲に形成するために、当該テーブルに対して相対的に昇降する処理空間形成部材と、
    前記処理空間に連鎖分解反応を起こす濃度以上の濃度のオゾンを含むオゾン雰囲気を形成するための雰囲気ガスを供給する雰囲気ガス供給部と、
    前記オゾン雰囲気にエネルギーを供給してオゾンを強制的に分解させることにより酸素の活性種を発生させ、当該活性種により前記基板の表面に吸着されている原料を酸化して前記酸化物を得るためのエネルギー供給部と、
    前記オゾンの分解による前記処理空間の圧力上昇を緩和するために、前記処理空間に接続されるように設けられ、不活性ガスが供給されるバッファ領域と、
    前記雰囲気ガスが前記処理空間に供給されるときには当該処理空間に対して前記バッファ領域を区画し、前記オゾンの分解が起きるときには前記処理空間に対して前記バッファ領域を連通させる区画機構と、
    を備えることを特徴とする成膜装置。
  2. 前記区画機構は、前記雰囲気ガスを処理空間に供給した後、前記エネルギー供給部によりエネルギー供給を行う前に、処理空間に対して前記バッファ空間を連通させることを特徴とする請求項1記載の成膜装置。
  3. 前記バッファ領域は、前記処理空間形成部材に設けられ、
    前記区画機構は、前記処理空間形成部材を昇降させる昇降機構であり、
    前記ステージに対する前記処理空間形成部材の高さによって、前記処理空間に対して前記バッファ領域が区画された状態と、前記処理空間と前記バッファ領域とが連通した状態とが切り替えられることを特徴とする請求項1または2記載の成膜装置。
  4. 前記処理空間と前記バッファ領域とは、処理空間形成部材とステージとの隙間を介して連通し、
    前記処理空間形成部材及びテーブルのうちの一方には、前記処理空間及び前記隙間を囲み、当該処理空間形成部材の外側からこれら処理空間及び隙間を隔離するための突起が設けられ、
    前記処理空間形成部材及びテーブルのうちの他方には、前記突起に係合する溝が設けられることを特徴とする請求項3記載の成膜装置。
  5. 前記バッファ領域は、ガス流路を介して処理空間に接続され、
    前記区画機構は、前記ガス流路に設けられるバルブにより構成されることを特徴とする請求項1記載の成膜装置。
  6. 前記バッファ領域は、前記処理空間を排気する排気路を兼用し、前記区画機構は、前記排気路に設けられるバルブにより構成されることを特徴とする請求項1記載の成膜装置。
  7. 前記エネルギー供給部は、オゾンと化学反応して前記強制的な分解を起こすための反応ガスを前記オゾン雰囲気に供給する反応ガス供給部により構成されることを特徴とする請求項1ないし6のいずれか一つに記載の成膜装置。
  8. 前記反応ガスは一酸化窒素であることを特徴とする請求項7記載の成膜装置。
  9. 真空容器内に形成された真空雰囲気で、テーブルに載置された基板の表面に酸化物の分子層を積層して薄膜を得る成膜方法において、
    前記テーブル上にて周方向に配置される第1の領域及び第2の領域に対して当該テーブルを相対的に回転させ、前記基板を第1の領域と第2の領域とに交互に繰り返し位置させる工程と、
    前記基板に原料を吸着させるために、前記原料を気体の状態で原料ガスとして第1の領域に供給する工程と、
    前記第1の領域から隔離された処理空間を前記第2の領域に位置する基板の周囲に形成するために、処理空間形成部材を当該テーブルに対して相対的に昇降させる工程と、
    前記処理空間に連鎖分解反応を起こす濃度以上の濃度のオゾンを含むオゾン雰囲気を形成するための雰囲気ガスを供給する工程と、
    前記オゾン雰囲気にエネルギーを供給してオゾンを強制的に分解させることにより酸素の活性種を発生させ、当該活性種により前記基板の表面に吸着されている原料を酸化して前記酸化物を得る工程と、
    前記オゾンの分解による前記処理空間の圧力上昇を緩和するために設けられるバッファ領域に不活性ガスを供給する工程と、
    次いで、前記雰囲気ガスが前記処理空間に供給されるときには当該処理空間に対して区画されていた前記バッファ領域を、前記オゾンの分解が起きるときには前記処理空間に対して連通させる工程と、
    を備えることを特徴とする成膜方法。
  10. 前記バッファ領域を処理空間に対して連通させる工程は、
    前記雰囲気ガス供給工程を行った後、前記エネルギー供給工程を行う前に行うことを特徴とする請求項9記載の成膜方法。
  11. 前記エネルギーの供給は、オゾンと化学反応して前記強制的な分解を起こすための反応ガスを前記オゾン雰囲気に供給することにより行われることを特徴とする請求項9または10記載の成膜方法。
  12. 前記反応ガスは一酸化窒素であることを特徴とする請求項11記載の成膜方法。
  13. 真空容器内に形成された真空雰囲気で、基板の表面に酸化物の分子層を積層して薄膜を得る成膜装置に用いられるコンピュータプログラムを格納した記憶媒体において、
    前記コンピュータプログラムは、請求項9ないし12のいずれか一つに記載の成膜方法を実施するようにステップが組まれていることを特徴とする記憶媒体。
JP2014123514A 2014-06-16 2014-06-16 成膜装置、成膜方法、記憶媒体 Active JP6225842B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2014123514A JP6225842B2 (ja) 2014-06-16 2014-06-16 成膜装置、成膜方法、記憶媒体
US14/731,468 US20150361550A1 (en) 2014-06-16 2015-06-05 Film formation apparatus, film formation method, and storage medium
KR1020150081960A KR101885947B1 (ko) 2014-06-16 2015-06-10 성막 장치, 성막 방법, 기억 매체
TW104119013A TWI592511B (zh) 2014-06-16 2015-06-12 成膜裝置
CN201510333848.0A CN105200393B (zh) 2014-06-16 2015-06-16 成膜装置和成膜方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014123514A JP6225842B2 (ja) 2014-06-16 2014-06-16 成膜装置、成膜方法、記憶媒体

Publications (2)

Publication Number Publication Date
JP2016004866A true JP2016004866A (ja) 2016-01-12
JP6225842B2 JP6225842B2 (ja) 2017-11-08

Family

ID=54835665

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014123514A Active JP6225842B2 (ja) 2014-06-16 2014-06-16 成膜装置、成膜方法、記憶媒体

Country Status (5)

Country Link
US (1) US20150361550A1 (ja)
JP (1) JP6225842B2 (ja)
KR (1) KR101885947B1 (ja)
CN (1) CN105200393B (ja)
TW (1) TWI592511B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180002059A (ko) * 2016-06-28 2018-01-05 시바우라 메카트로닉스 가부시끼가이샤 성막 장치, 성막 제품의 제조 방법 및 전자 부품의 제조 방법
KR20200015393A (ko) 2018-08-02 2020-02-12 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
JP2021525453A (ja) * 2018-05-24 2021-09-24 東京エレクトロン株式会社 気相ラジカルの制御のための複数ゾーンガス噴射

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017102847B4 (de) * 2017-02-13 2018-10-31 Esta Apparatebau Gmbh & Co. Kg Absaugtisch mit einem Werkstückhalter für ein zu haltendes Werkstück
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11555247B2 (en) 2019-09-20 2023-01-17 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and movable electrode arrangement, movable support arrangement, and application thereof
CN112538617B (zh) * 2019-09-20 2022-02-22 江苏菲沃泰纳米科技股份有限公司 镀膜设备
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11443954B2 (en) * 2019-12-10 2022-09-13 Tokyo Electron Limited Method and apparatus for controlling a shape of a pattern over a substrate
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61207023A (ja) * 1985-03-12 1986-09-13 Nec Corp 半導体装置の製造装置
US20030207032A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Methods, systems, and apparatus for atomic-layer deposition of aluminum oxides in integrated circuits
US20040052972A1 (en) * 2002-07-03 2004-03-18 Jacques Schmitt Method and apparatus for ALD on a rotary susceptor
JP2006080474A (ja) * 2004-08-11 2006-03-23 Meidensha Corp 酸化膜形成方法とその装置
JP2006176838A (ja) * 2004-12-22 2006-07-06 Mitsui Eng & Shipbuild Co Ltd 原子層成膜装置
JP2007176730A (ja) * 2005-12-27 2007-07-12 Sumitomo Heavy Ind Ltd オゾンガス移送装置
JP2007251071A (ja) * 2006-03-20 2007-09-27 Iwatani Internatl Corp 酸化薄膜の作成方法
JP2011222960A (ja) * 2010-02-26 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012222024A (ja) * 2011-04-05 2012-11-12 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2013135154A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8097300B2 (en) * 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
JP2013197421A (ja) 2012-03-21 2013-09-30 Hitachi Kokusai Electric Inc 基板処理装置

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61207023A (ja) * 1985-03-12 1986-09-13 Nec Corp 半導体装置の製造装置
US20030207032A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Methods, systems, and apparatus for atomic-layer deposition of aluminum oxides in integrated circuits
US20040052972A1 (en) * 2002-07-03 2004-03-18 Jacques Schmitt Method and apparatus for ALD on a rotary susceptor
JP2006080474A (ja) * 2004-08-11 2006-03-23 Meidensha Corp 酸化膜形成方法とその装置
JP2006176838A (ja) * 2004-12-22 2006-07-06 Mitsui Eng & Shipbuild Co Ltd 原子層成膜装置
JP2007176730A (ja) * 2005-12-27 2007-07-12 Sumitomo Heavy Ind Ltd オゾンガス移送装置
JP2007251071A (ja) * 2006-03-20 2007-09-27 Iwatani Internatl Corp 酸化薄膜の作成方法
JP2011222960A (ja) * 2010-02-26 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012222024A (ja) * 2011-04-05 2012-11-12 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2013135154A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180002059A (ko) * 2016-06-28 2018-01-05 시바우라 메카트로닉스 가부시끼가이샤 성막 장치, 성막 제품의 제조 방법 및 전자 부품의 제조 방법
KR101971343B1 (ko) * 2016-06-28 2019-04-22 시바우라 메카트로닉스 가부시끼가이샤 성막 장치, 성막 제품의 제조 방법 및 전자 부품의 제조 방법
JP2021525453A (ja) * 2018-05-24 2021-09-24 東京エレクトロン株式会社 気相ラジカルの制御のための複数ゾーンガス噴射
JP7205021B2 (ja) 2018-05-24 2023-01-17 東京エレクトロン株式会社 気相ラジカルの制御のための複数ゾーンガス噴射
KR20200015393A (ko) 2018-08-02 2020-02-12 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
US11655539B2 (en) 2018-08-02 2023-05-23 Tokyo Electron Limited Film deposition apparatus and film deposition method

Also Published As

Publication number Publication date
US20150361550A1 (en) 2015-12-17
KR101885947B1 (ko) 2018-08-06
TWI592511B (zh) 2017-07-21
JP6225842B2 (ja) 2017-11-08
CN105200393B (zh) 2018-10-19
KR20150145183A (ko) 2015-12-29
CN105200393A (zh) 2015-12-30
TW201615884A (zh) 2016-05-01

Similar Documents

Publication Publication Date Title
JP6225842B2 (ja) 成膜装置、成膜方法、記憶媒体
JP6225837B2 (ja) 成膜装置、成膜方法、記憶媒体
JP6354539B2 (ja) 基板処理装置、基板処理方法、記憶媒体
KR101434709B1 (ko) 성막 장치, 성막 방법 및 기억 매체
KR102313812B1 (ko) 성막 장치
KR102010633B1 (ko) 기판 처리 방법 및 기판 처리 장치
US20160056035A1 (en) Method of Manufacturing Semiconductor Device
TWI545625B (zh) 半導體裝置的製造方法,基板處理裝置及記錄媒體
JP2007067119A (ja) 半導体製造装置
KR20170007132A (ko) 기판 처리 장치
CN106952894B (zh) Dram电容器的下部电极及其制造方法
US9552981B2 (en) Method and apparatus for forming metal oxide film
TWI788683B (zh) 基板處理裝置、基板支撐具、半導體裝置的製造方法及程式
US11094532B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2011222677A (ja) 基板処理装置
KR102365948B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치, 및 프로그램
US9425071B2 (en) Film forming method
KR20230157481A (ko) 막 형성 방법 및 기판 처리 장치
KR20220088920A (ko) 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 프로그램
JP2023012621A (ja) 成膜方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170825

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170925

R150 Certificate of patent or registration of utility model

Ref document number: 6225842

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250