KR101434709B1 - 성막 장치, 성막 방법 및 기억 매체 - Google Patents

성막 장치, 성막 방법 및 기억 매체 Download PDF

Info

Publication number
KR101434709B1
KR101434709B1 KR1020100107482A KR20100107482A KR101434709B1 KR 101434709 B1 KR101434709 B1 KR 101434709B1 KR 1020100107482 A KR1020100107482 A KR 1020100107482A KR 20100107482 A KR20100107482 A KR 20100107482A KR 101434709 B1 KR101434709 B1 KR 101434709B1
Authority
KR
South Korea
Prior art keywords
reaction gas
substrate
gas
rotary table
reaction
Prior art date
Application number
KR1020100107482A
Other languages
English (en)
Other versions
KR20110048466A (ko
Inventor
다께시 구마가이
야스시 다께우찌
히또시 가또오
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110048466A publication Critical patent/KR20110048466A/ko
Application granted granted Critical
Publication of KR101434709B1 publication Critical patent/KR101434709B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate

Abstract

회전 테이블을 회전시켜 웨이퍼(W) 상에 BTBAS 가스를 흡착시키고, 계속해서 웨이퍼(W)의 표면에 O3 가스를 공급하여 웨이퍼(W)의 표면에 흡착된 BTBAS 가스를 산화시켜 산화 실리콘막을 성막하는 데 있어서, 웨이퍼(W)를 가열하여 산화 실리콘막을 생성시키기 위한 가열부로서, 회전 테이블의 내주측으로부터 외주측에 걸쳐서 띠 형상으로 레이저광을 조사하는 레이저 조사부를 사용한다.

Description

성막 장치, 성막 방법 및 기억 매체{FILM FORMATION APPARATUS, FILM FORMATION METHOD, AND STORAGE MEDIUM}
본 출원은 2009년 11월 2일에 일본 특허청에 출원된 일본 특허 출원 제2009-252375호에 기초하는 우선권을 주장하는 것으로, 그 전체 내용을 여기에 원용한다.
본 발명은 테이블 상의 기판과 반응 가스 공급부를 상대적으로 공전시켜, 적어도 2종류의 반응 가스를 순서대로 기판에 공급하여 성막 처리를 행하는 기술에 관한 것이다.
반도체 제조 프로세스의 하나인, 진공 분위기 하에서 반응 가스에 의해 기판에 성막하는 방법을 실시하는 장치로서, 복수의 반도체 웨이퍼 등의 기판을 적재대에 적재하여, 반응 가스 공급부에 대해 기판을 상대적으로 공전시키면서 성막 처리를 행하는 성막 장치가 알려져 있다. 특허 문헌 1 내지 3에는 이러한 종류의 소위 미니 뱃치 방식의 성막 장치가 기재되어 있고, 이와 같은 성막 장치는, 예를 들어 반응 가스 공급부로부터 기판에 대해 복수 종류의 반응 가스를 공급하는 동시에, 이들 복수 종류의 반응 가스가 각각 공급되는 영역끼리의 사이에, 예를 들어 격벽 부재를 설치하거나, 혹은 불활성 가스를 에어 커튼으로서 분출함으로써, 이들 복수의 반응 가스끼리가 서로 섞이지 않도록 하여 성막 처리를 행하도록 구성되어 있다. 그리고, 이 성막 장치를 사용하여, 제1 반응 가스 및 제2 반응 가스를 교대로 기판에 공급하여 원자층 혹은 분자층을 적층해 가는, 예를 들어 ALD(Atomic Layer Deposition)나 MLD(Molecular Layer Deposition) 등을 행하고 있다.
이 성막 장치에서는, 적재대에 적재된 복수매의 기판을 가열하는 데 있어서, 예를 들어 적재대 전체를 가열함으로써 상기 복수의 기판을 한번에 가열하도록 하고 있다. 그로 인해, 대형이고 고출력의 히터가 필요해지므로, 장치의 소비 에너지가 커져 버린다. 또한, 히터가 대형화되면, 히터로부터의 복사열 등에 의해 진공 용기 내의 분위기나 장치 전체가 고온으로 되므로, 진공 용기나 장치 전체를 냉각하기 위한 냉각 기구가 필요해져, 장치 구조가 복잡화되어 버린다.
또한, 상기한 ALD(MLD)법에 의해 박막의 성막을 행하면, 성막 온도가 낮기 때문에, 예를 들어 반응 가스에 포함되어 있는 유기물이나 수분 등의 불순물이 박막 중에 도입되어 버리는 경우가 있다. 이와 같은 불순물을 막 중으로부터 외부로 배출하여 치밀하고 불순물이 적은 박막을 형성하기 위해서는, 기판에 대해, 예를 들어 수백℃ 정도로 가열하는 어닐 처리(열처리) 등의 후처리를 행할 필요가 있지만, 박막을 적층한 후에 이 후처리를 행하면, 공정이 증가하므로 비용의 증가로 연결되어 버린다.
예를 들어, 특허 문헌 1 및 특허 문헌 4에는 웨이퍼를 가열하는 방법으로서 레이저광을 사용하는 기술이 기재되어 있지만, 구체적인 장치 구성에 대해서는 언급되어 있지 않다.
특허 문헌 1 : 미국 특허 공보 7,153,542호 : 도 8의 (a), 도 8의 (b) 특허 문헌 2 : 일본 특허 3144664호 공보 : 도 1, 도 2, 청구항 1 특허 문헌 3 : 미국 특허 공보 6,634,314호 특허 문헌 4 : 일본 특허 출원 공개 제2006-229075호
본 발명은 이와 같은 사정을 감안하여 이루어진 것으로, 테이블 상의 기판과 반응 가스 공급부를 상대적으로 공전시켜, 적어도 2종류의 반응 가스를 순서대로 기판에 공급하여 성막 처리를 행하는 데 있어서, 반응 생성물을 생성시키기 위한 소비 에너지를 작게 억제할 수 있는 성막 장치, 성막 방법 및 기억 매체를 제공한다.
본 발명의 제1 형태에 따르면, 진공 용기 내에서 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판의 표면에 공급하고 또한 이 공급 사이클을 실행함으로써 반응 생성물의 층을 다수 적층하여 박막을 형성하는 성막 장치가 제공된다. 이 성막 장치는 상기 진공 용기 내에 설치되어, 기판을 적재하기 위한 기판 적재 영역을 갖는 테이블과, 이 테이블 상의 상기 기판에 제1 반응 가스를 공급하기 위한 제1 반응 가스 공급부와, 상기 테이블 상의 상기 기판에 제2 반응 가스를 공급하기 위한 제2 반응 가스 공급부와, 상기 기판 적재 영역에 대향하도록 또한 상기 기판 적재 영역 상의 기판에 있어서의 상기 테이블의 중심측의 단부와 상기 테이블의 외주측의 단부 사이에 걸쳐서 띠 형상으로 레이저광을 조사하도록 설치되는 레이저 조사부와, 상기 제1 반응 가스 공급부, 상기 제2 반응 가스 공급부 및 상기 레이저 조사부와 상기 테이블을 상대적으로 회전시키기 위한 회전 기구와, 상기 진공 용기 내를 배기하기 위한 진공 배기부를 구비한다. 상기 제1 반응 가스 공급부, 상기 제2 반응 가스 공급부 및 상기 레이저 조사부는 상기 상대적인 회전 시에 상기 제1 반응 가스가 공급되는 제1 처리 영역, 상기 제2 반응 가스가 공급되는 제2 처리 영역 및 상기 레이저광이 조사되는 조사 영역의 순으로 기판이 위치하도록 배치되어 있다.
본 발명의 제2 형태에 따르면, 진공 용기 내에서 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판의 표면에 공급하고 또한 이 공급 사이클을 실행함으로써 반응 생성물의 층을 다수 적층하여 박막을 형성하는 성막 방법이 제공된다. 이 성막 방법은 진공 용기 내에 설치된 테이블의 기판 적재 영역에 기판을 적재하는 공정과, 상기 진공 용기 내를 진공 배기하는 공정과, 제1 반응 가스 공급부, 제2 반응 가스 공급부 및 레이저 조사부와 상기 테이블을 상대적으로 회전시키는 공정과, 상기 테이블 상의 기판에 상기 제1 반응 가스 공급부로부터 제1 반응 가스를 공급하는 공정과, 상기 테이블 상의 기판에 상기 제2 반응 가스 공급부로부터 제2 반응 가스를 공급하는 공정과, 상기 레이저 조사부로부터, 상기 기판에 있어서의 상기 테이블의 중심측의 단부와 상기 테이블의 외주측의 단부 사이에 걸쳐서 띠 형상으로 레이저광을 조사하는 공정을 포함한다.
본 발명의 제3 형태에 따르면, 진공 용기 내에서 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판의 표면에 공급하고 또한 이 공급 사이클을 실행함으로써 반응 생성물의 층을 다수 적층하여 박막을 형성하는 성막 장치에 사용되는 컴퓨터 프로그램을 저장한 기억 매체가 제공된다. 이 컴퓨터 프로그램에는 제2 형태의 성막 방법을 실시하도록 스텝이 짜여져 있다.
도 1은 본 발명의 실시 형태에 관한 성막 장치의 종단면을 도시하는 도 3의 I-I'선 종단면도.
도 2는 상기한 성막 장치의 내부의 개략 구성을 도시하는 사시도.
도 3은 상기한 성막 장치의 횡단 평면도.
도 4는 상기한 성막 장치에 있어서의 처리 영역 및 분리 영역을 도시하는 종단면도.
도 5는 본 발명의 레이저 조사부의 일례를 도시하는 성막 장치의 종단면도.
도 6은 상기한 성막 장치에 있어서 조사되는 레이저광의 조사 에너지 밀도와 웨이퍼의 온도의 관계의 일례를 도시하는 특성도.
도 7은 상기한 레이저 조사부에 의해 레이저광이 조사되는 조사 영역을 모식적으로 도시하는 평면도.
도 8은 분리 가스 혹은 퍼지 가스가 흐르는 모습을 도시하는 설명도.
도 9는 본 발명에 있어서 반응 생성물이 생성되는 모습을 모식적으로 도시하는 모식도.
도 10은 제1 반응 가스 및 제2 반응 가스가 분리 가스에 의해 분리되어 배기되는 모습을 도시하는 설명도.
도 11은 본 발명의 다른 실시 형태에 관한 성막 장치를 도시하는 종단면도.
도 12는 분리 영역에 사용되는 볼록 형상부의 치수예를 설명하기 위한 설명도.
도 13은 본 발명의 다른 실시 형태에 관한 성막 장치를 도시하는 종단면도.
본 발명의 실시 형태에 의한 성막 장치는, 테이블 상의 기판과 반응 가스 공급부를 상대적으로 공전시켜, 적어도 2종류의 반응 가스를 순서대로 기판에 공급하여 성막 처리를 행하는 데 있어서, 테이블 상의 기판 적재 영역에 대향하도록 또한 상기 기판 적재 영역 상의 기판에 있어서의 상기 테이블의 중심측의 단부와 상기 테이블의 외주측의 단부 사이에 걸쳐서 띠 형상으로 레이저광을 조사하여 기판 상에 반응 생성물을 생성시키기 위한 레이저 조사부를 설치하여, 이 레이저 조사부를 테이블 상의 기판에 대해 반응 가스 공급부와 함께 상대적으로 공전할 수 있도록 구성되어 있다. 그로 인해, 기판의 표면이 레이저 조사부의 하방 영역에 있어서 빠르게 가열되므로, 반응 생성물을 생성시키기 위한 소비 에너지를 작게 억제할 수 있다. 또한, 이 레이저 조사부에 의해, 반응 생성물의 생성 대신에, 혹은 반응 생성물의 생성과 함께, 기판 상에 생성한 반응 생성물의 개질을 행함으로써, 치밀하고 또한 불순물이 적은 박막을 얻을 수 있다.
본 발명의 실시 형태인 성막 장치는, 도 1(도 3의 I-I'선을 따른 단면도) 내지 도 3에 도시한 바와 같이 평면 형상이 대략 원형인 편평한 진공 용기(1)와, 이 진공 용기(1) 내에 설치되어, 당해 진공 용기(1)의 중심에 회전 중심을 갖는 회전 테이블(2)을 구비하고 있다. 진공 용기(1)는 천장판(11)을 용기 본체(12)로부터 분리할 수 있도록 구성되어 있다. 천장판(11)은 용기 본체(12)의 상단부면에 설치된 시일 부재, 예를 들어 O링(13)을 통해 용기 본체(12) 상에 적재되어 있다. 진공 용기(1) 내가 감압되면, 천장판(11)이 용기 본체(12)로 압박되어, O링(13)에 의한 천장판(11)과 용기 본체(12) 사이의 기밀이 보다 확실하게 유지된다. 단, 천장판(11)을 용기 본체(12)로부터 분리할 필요가 있을 때에는, 도시하지 않은 구동 기구에 의해 천장판(11)은 상방으로 들어올려진다.
회전 테이블(2)은 중심부에서 원통 형상의 코어부(21)에 고정되고, 이 코어부(21)는 연직 방향으로 연신되는 회전축(22)의 상단부에 고정되어 있다. 회전축(22)은 진공 용기(1)의 저부(14)를 관통하여, 그 하단부가 당해 회전축(22)을 연직축 주위로, 본 예에서는 시계 방향으로 회전시키는 구동부(23)에 설치되어 있다. 회전축(22) 및 구동부(23)는 상면이 개방된 통 형상의 케이스체(20) 내에 수납되어 있다. 이 케이스체(20)는 그 상면에 설치된 플랜지 부분이 진공 용기(1)의 저부(14)의 하면에 기밀하게 설치되어 있어, 케이스체(20)의 내부 분위기와 외부 분위기의 기밀 상태가 유지되어 있다.
회전 테이블(2)의 표면부에는, 도 2 및 도 3에 도시한 바와 같이 회전 방향(둘레 방향)을 따라서 복수매, 예를 들어 5매의 기판인 반도체 웨이퍼(이하, 「웨이퍼」라고 함)(W)를 적재하기 위한 원 형상의 오목부(24)가 형성되어 있다. 또한, 도 3에는 편의상 1개의 오목부(24)에만 웨이퍼(W)를 그리고 있다. 도 4는 회전 테이블(2)을 동심원을 따른 단면도이다. 오목부(24)는, 도 4의 (a)에 도시한 바와 같이 그 직경이 웨이퍼(W)의 직경보다도 약간, 예를 들어 4㎜ 크고, 또한 그 깊이는 웨이퍼(W)의 두께와 동등한 크기로 설정되어 있다. 따라서, 웨이퍼(W)를 오목부(24)에 적재하면, 웨이퍼(W)의 표면과 회전 테이블(2)의 표면[웨이퍼(W)가 적재되지 않은 영역]이 정렬되게 된다. 웨이퍼(W)의 표면과 회전 테이블(2)의 표면 사이의 높이의 차가 크면, 그 단차 부분에서 가스의 흐름이 흐트러지므로, 웨이퍼(W)의 표면과 회전 테이블(2)의 표면의 높이를 정렬시키는 것이, 막 두께의 면내 균일성을 정렬시키는 관점으로부터 바람직하다. 웨이퍼(W)의 표면과 회전 테이블(2)의 표면의 높이를 정렬시킨다는 것은, 동일한 높이이거나 혹은 양면의 차가 5㎜ 이내인 것을 말하지만, 가공 정밀도 등에 따라서 가능한 한 양면의 높이의 차를 0에 근접시키는 것이 바람직하다. 오목부(24)의 저면에는 웨이퍼(W)의 이면을 지지하여 당해 웨이퍼(W)를 승강시키기 위한, 예를 들어 후술하는 3개의 승강 핀이 관통하는 관통 구멍(도시하지 않음)이 형성되어 있다.
오목부(24)는 웨이퍼(W)를 위치 결정하여 회전 테이블(2)의 회전에 수반하는 원심력에 의해 튀어나오지 않도록 하기 위한 것으로, 기판 적재 영역에 상당하는 부위이다. 단, 기판 적재 영역(웨이퍼 적재 영역)은 오목부로 한정되지 않고, 예를 들어 회전 테이블(2)의 표면에 웨이퍼(W)의 주연을 가이드하는 가이드 부재를 웨이퍼(W)의 둘레 방향을 따라서 복수 배열함으로써 구성해도 좋다. 또한, 회전 테이블(2)측에 정전 척 등의 척 기구에 의해 웨이퍼(W)를 흡착하는 경우에는, 그 흡착에 의해 웨이퍼(W)가 적재되는 영역이 기판 적재 영역으로 된다.
도 2 및 도 3에 도시한 바와 같이, 회전 테이블(2)에 있어서의 오목부(24)의 통과 영역과 각각 대향하는 위치에는, 각각 예를 들어 석영으로 이루어지는 제1 반응 가스 노즐(31) 및 제2 반응 가스 노즐(32)과, 2개의 분리 가스 노즐(41, 42)이 진공 용기(1)의 둘레 방향[회전 테이블(2)의 회전 방향]으로 서로 간격을 두고 가스 공급부로서 방사상으로 배치되어 있다. 본 예에서는, 후술하는 반송구(15)로부터 볼 때 시계 방향[회전 테이블(2)의 회전 방향]으로 분리 가스 노즐(41), 제1 반응 가스 노즐(31), 분리 가스 노즐(42) 및 제2 반응 가스 노즐(32)이 이 순서로 배열되어 있고, 이들 노즐(31, 32, 41, 42)은, 예를 들어 진공 용기(1)의 외주벽으로부터 회전 테이블(2)의 회전 중심을 향해 웨이퍼(W)에 대향하여 수평으로 연신되도록 라인 형상으로 설치되어 있다. 각 노즐(31, 32, 41, 42)의 기단부인 가스 도입 포트(31a, 32a, 41a, 42a)는 진공 용기(1)의 외주벽을 관통하고 있다. 반응 가스 노즐(31)은 제1 반응 가스 공급부로서 작용하고, 반응 가스 노즐(32)은 제2 반응 가스 공급부로서 작용하고, 분리 가스 노즐(41, 42)은 분리 가스 공급부로서 작용한다. 제2 반응 가스 노즐(32)과, 회전 테이블(2)의 회전 방향에 있어서 제2 반응 가스 노즐(32)의 하류측의 분리 가스 노즐(41)[상세하게는 분리 가스 노즐(41)이 설치된 후술하는 분리 영역(D)에 있어서의 회전 테이블(2)의 회전 방향 상류 테두리)] 사이에는, 천장판(11)의 상방에 설치된 후술하는 레이저 조사부(201)로부터 웨이퍼(W)에 대해 레이저광이 조사되는 조사 영역(P3)이 형성되어 있지만, 이들 레이저 조사부(201)나 조사 영역(P3)에 대해서는 후에 상세하게 서술한다.
반응 가스 노즐(31, 32) 및 분리 가스 노즐(41, 42)은, 도시한 예에서는, 진공 용기(1)의 주위벽부로부터 진공 용기(1) 내로 도입되어 있지만, 후술하는 환형상의 돌출부(5)로부터 도입해도 좋다. 이 경우, 돌출부(5)의 외주면과 천장판(11)의 외표면에 개방되는 L자형의 도관을 설치하여, 진공 용기(1) 내에서 L자형의 도관의 한쪽의 개구에 반응 가스 노즐(31)[반응 가스 노즐(32), 분리 가스 노즐(41, 42)]을 접속하여, 진공 용기(1)의 외부에서 L자형의 도관의 다른 쪽의 개구에 가스 도입 포트[31a(32a, 41a, 42a)]를 접속할 수 있다.
제1 반응 가스 노즐(31)은 도시하지 않은 유량 조정 밸브 등을 통해, 제1 반응 가스인 BTBAS{비스터셜부틸아미노실란, SiH2[NH-C(CH3)3]2} 가스의 가스 공급원(도시하지 않음)에 접속되어 있다. 제2 반응 가스 노즐(32)은, 도시하지 않은 유량 조정 밸브 등을 통해, 제2 반응 가스인 O3(오존) 가스의 가스 공급원(도시하지 않음)에 접속되어 있다. 분리 가스 노즐(41, 42)은 모두 유량 조정 밸브 등을 통해 분리 가스인 N2 가스(질소 가스)의 가스 공급원(도시하지 않음)에 접속되어 있다.
제1 반응 가스 노즐(31, 32)에는 하방측에 반응 가스를 토출하기 위한, 예를 들어 구경이 0.5㎜인 가스 토출 구멍(33)이 바로 아래를 향해 노즐의 길이 방향에 걸쳐서, 예를 들어 10㎜의 간격을 두고 등간격으로 배열되어 있다. 또한, 분리 가스 노즐(41, 42)에는 하방측으로 분리 가스를 토출하기 위한, 예를 들어 구경이 0.5㎜인 가스 토출 구멍(40)이 바로 아래를 향해 길이 방향으로, 예를 들어 10㎜ 정도의 간격을 두고 천공되어 있다. 각 반응 가스 노즐(31, 32)의 가스 토출 구멍(33)과 웨이퍼(W) 사이의 거리는, 예를 들어 1 내지 4㎜ 바람직하게는 2㎜이고, 분리 가스 노즐(41, 42)의 가스 토출 구멍(40)과 웨이퍼(W) 사이의 거리는, 예를 들어 1 내지 4㎜ 바람직하게는 3㎜이다. 반응 가스 노즐(31, 32)의 하방 영역은 각각 BTBAS 가스를 웨이퍼(W)에 흡착시키기 위한 제1 처리 영역(P1) 및 O3 가스를 웨이퍼(W)에 흡착시키기 위한 제2 처리 영역(P2)으로 된다.
분리 가스 노즐(41, 42)은 제1 처리 영역(P1)과 제2 처리 영역(P2)을 분리하기 위한 분리 영역(D)을 형성한다. 분리 영역(D)에 있어서, 진공 용기(1)의 천장판(11)에는, 도 2 내지 도 4에 도시한 바와 같이 정상부가 원호 형상으로 절단된 부채형의 평면 형상을 갖고, 하방으로 돌출된 볼록 형상부(4)가 설치되어 있다. 볼록 형상부(4)는 내측 원호가 돌출부(5)(후술함)에 연결되고, 외측 원호가, 진공 용기(1)의 용기 본체(12)의 내주면을 따르도록 배치되어 있다. 분리 가스 노즐(41, 42)은 이 볼록 형상부(4)에 있어서의 원의 둘레 방향 중앙에서 당해 원의 반경 방향으로 연신되도록 형성된 홈부(43) 내에 수납되어 있다. 즉, 분리 가스 노즐(41, 42)의 중심축으로부터 볼록 형상부(4)인 부채형의 양 테두리(회전 방향 상류측의 테두리 및 하류측의 테두리)까지의 거리는 동일한 길이로 설정되어 있다.
또한, 홈부(43)는, 본 실시 형태에서는 볼록 형상부(4)를 이등분하도록 형성되어 있지만, 다른 실시 형태에 있어서는, 예를 들어 홈부(43)로부터 볼 때 볼록 형상부(4)에 있어서의 회전 테이블(2)의 회전 방향 상류측이 회전 방향 하류측보다도 넓어지도록 홈부(43)를 형성해도 좋다.
따라서, 분리 가스 노즐(41, 42)에 있어서의 둘레 방향 양측에는, 볼록 형상부(4)의 하면인, 예를 들어 평탄한 낮은 천장면(44)(제1 천장면)이 존재하고, 이 천장면(44)의 둘레 방향 양측에는 당해 천장면(44)보다도 높은 천장면(45)(제2 천장면)이 존재하게 된다. 이 볼록 형상부(4)는 분리 공간으로서 작용하는 협애한 공간을 회전 테이블(2)에 대해 형성하고, 이에 의해 제1 반응 가스 및 제2 반응 가스의 침입을 저지하여 이들 반응 가스의 혼합을 저지한다.
즉, 분리 가스 노즐(41)을 예로 들면, 회전 테이블(2)의 회전 방향 상류측으로부터 O3 가스가 침입하는 것을 저지하고, 또한 회전 방향 하류측으로부터 BTBAS 가스가 침입하는 것을 저지한다. 「가스의 침입을 저지한다」라고 함은, 분리 가스 노즐(41)로부터 토출된 분리 가스인 N2 가스가 제1 천장면(44)과 회전 테이블(2)의 표면 사이로 확산되어, 본 예에서는 당해 제1 천장면(44)에 인접하는 제2 천장면(45)의 하방측 공간으로 분출하여, 이에 의해 당해 인접 공간으로부터의 가스가 침입할 수 없게 되는 것을 의미한다. 그리고, 「가스가 침입할 수 없게 된다」라고 함은, 인접 공간으로부터 볼록 형상부(4)의 하방측 공간으로 전혀 인입할 수 없는 경우만을 의미하는 것이 아니라, 다소 침입은 하지만, 양측으로부터 각각 침입한 O3 가스 및 BTBAS 가스가 볼록 형상부(4) 내에서 서로 섞이지 않는 상태가 확보되는 경우도 의미하고, 이와 같은 작용이 얻어지는 한, 분리 영역(D)의 역할인 제1 처리 영역(P1)의 분위기와 제2 처리 영역(P2)의 분위기의 분리 작용을 발휘할 수 있다. 따라서, 협애한 공간에 있어서의 협애의 정도는, 협애한 공간[볼록 형상부(4)의 하방 공간]과 당해 공간에 인접한 영역[본 예에서는 제2 천장면(45)의 하방 공간]의 압력차가 「가스가 침입할 수 없게 된다」는 작용을 확보할 수 있을 정도의 크기로 되도록 설정되고, 그 구체적인 치수는 볼록 형상부(4)의 면적 등에 따라서 다르다. 또한, 웨이퍼(W)에 흡착된 가스에 대해서는 당연히 분리 영역(D) 내를 통과할 수 있고, 가스의 침입 저지는 기상 중의 가스가 볼록 형상부(4)의 하방 공간으로 침입할 수 없게 되는 것을 의미하고 있다.
계속해서, 레이저 조사부(201)에 대해 설명한다. 이 레이저 조사부(201)는 회전 테이블(2) 상의 웨이퍼(W)에 대해 레이저광을 조사함으로써, 웨이퍼(W)의 표면을 순시에 가열하기 위해 설치되어 있다. 레이저 조사부(201)는, 도 2 및 도 3에 도시한 바와 같이 제2 반응 가스 노즐(32)과, 회전 테이블(2)의 회전 방향에 있어서 제2 반응 가스 노즐(32)의 하류측의 분리 영역(D) 사이에 위치한다. 또한, 레이저 조사부(201)는 천장판(11) 상에 있어서 회전 테이블(2)과 평행이 되도록 배치되어 있다. 레이저 조사부(201)는, 도 5에 도시한 바와 같이 진공 용기(1)의 외측 테두리측으로부터 중심부[회전 테이블(2)의 회전 중심]측을 향해 수평 방향(횡방향)으로 상기한 레이저광을 방사하는 광원(202)과, 광원(202)으로부터의 레이저광의 광로를, 하방측을 향해 굴곡시키는 동시에, 웨이퍼(W)의 직경 방향에 걸쳐서, 즉 오목부(24)에 있어서의 회전 테이블(2)의 중심측의 단부와 외주측의 단부에 걸쳐서 띠 형상(라인 형상)으로 퍼지게 하기 위한 광학 부재(203)를 구비하고 있다. 또한, 상기한 레이저 조사부(201)와 제2 반응 가스 노즐(32) 및 분리 영역(D)의 위치 관계를 나타내기 위해, 도 2에서는 천장판(11)을 생략하고, 또한 도 1 및 도 2에서는 레이저 조사부(201)를 간략화하고 있다.
광원(202)은 도 3에 도시한 전원(204)으로부터 공급되는, 예를 들어 17J/㎠ 내지 100J/㎠의 조사 에너지 밀도에 의해, 자외 영역으로부터 적외 영역의 파장의 레이저광(본 예에서는 808㎚의 파장을 갖는 레이저광)을 웨이퍼(W)에 조사하여, 웨이퍼(W)의 표면을 순시에, 예를 들어 200℃ 내지 1200℃로 가열할 수 있도록 구성되어 있다. 광원(202)은 가스 레이저 장치라도, 반도체 레이저 디바이스라도 좋다.
이 광원(202)으로부터 조사되는 레이저광의 조사 에너지 밀도에 대해 설명하면, 레이저 조사 에너지 밀도[J/㎠]는, 전력 밀도[W/㎠]와 조사 시간[sec]의 곱으로 나타낸다. 전력 밀도는 레이저광의 전력을 P[W], 레이저광의 조사 에어리어[후술하는 조사 영역(P3)]의 면적을 S[㎠]로 하면, P/S로 된다. 또한, 조사 시간은 조사 에어리어의 호의 길이와 회전 테이블(2)의 주속도[회전 테이블(2)의 회전수에 비례하는 값]로 나타내고, 호의 길이를 l[㎝], 회전 테이블(2)의 반경을 r(㎝), 회전 테이블(2)의 회전수를 N[rpm]으로 하면, 60l/(2πrN)로 된다. 따라서, 상기한 조사 에너지 밀도는, 실제로는 레시피나 장치의 치수를 고려하여 설정되게 된다. 또한, 도 6에 도시한 바와 같이 레이저광의 조사 에너지 밀도와 웨이퍼(W)의 표면 온도에는 비례 관계가 있다고 예측되므로, 상술한 조사 에너지 범위이면, 웨이퍼(W)의 표면 온도를 소정의 온도로 설정할 수 있다.
광학 부재(203)는, 예를 들어 빔 스플리터, 볼록 또는 오목 실린드리컬 렌즈 및 레이저광의 광로를 평행하게(콜리메이트) 하는 렌즈 등을 포함하여, 도 7에 도시한 바와 같이, 오목부(24)에 있어서의 회전 테이블(2)의 회전 중심측의 내측 테두리와 회전 테이블(2)의 외주측의 외측 테두리 사이에 걸쳐서 띠 형상(직사각 형상)으로 레이저광[조사 영역(P3)]을 퍼지게 하도록 구성되어 있다. 이때, 회전 테이블(2)의 내주측으로부터 외주측을 향할수록 회전 테이블(2)의 주속도가 빨라지므로, 웨이퍼(W)에 대한 레이저광의 조사 시간이 회전 테이블(2)의 내주측으로부터 외주측에 걸쳐서 정렬되도록, 조사 영역(P3)의 폭 치수는 회전 테이블(2)의 내주측으로부터 외주측을 향할수록 확대되어, 조사 영역(P3)은, 예를 들어 사다리꼴 형상을 갖고 있다. 본 실시 형태에서는, 오목부(24)에 있어서의 회전 테이블(2)의 내주측의 폭 치수(ti)는 약 100㎜이고, 회전 테이블(2)의 외주측의 폭 치수(to)는 약 300㎜이다. 또한, 도 7에서는 상기한 조사 영역(P3)에 대해 사선으로 나타내고 있다. 또한, 이 도 7에 있어서는, 회전 테이블(2) 이외의 부재에 대해서는 묘화를 생략하고 있다.
또한, 천장판(11)에는 레이저 조사부(201)의 하방에 있어서, 도 3 내지 도 5에 도시한 바와 같이, 레이저 조사부(201)로부터 조사되는 레이저광이 회전 테이블(2)의 내주측으로부터 외주측에 걸쳐서 진공 용기(1) 내에 도달하도록, 직사각형의 개구부(205)가 형성되어 있다. 또한, 개구부(205)의 개구 치수는, 예를 들어 상단부측이 하단부측보다도 크다. 이 개구부(205)에는, 예를 들어 석영으로 이루어지는 투명창(206)이 기밀하게 끼워 넣어져 있다. 구체적으로는, 투명창(206)의 주위에 있어서의 하면과 천장판(11) 사이에는 시일 부재(207)가 설치되어 있다. 또한, 이들 개구부(205) 및 투명창(206)은 상술한 레이저광의 조사 영역(P)이 확보되도록, 조사 영역(P)과 동일한 정도의 치수로 되도록 형성되어 있다. 즉, 개구부(205) 및 투명창(206)은 회전 테이블(2)의 내주측에 있어서, 약 100㎜의 폭 치수(ti)를 갖고, 회전 테이블(2)의 외주측에 있어서, 약 300㎜의 폭 치수(to)를 갖고 있다.
본 실시 형태에서는, 오목부(24)에 적재되는 웨이퍼(W)는 300㎜의 직경을 갖고 있다. 이 경우, 상술한 볼록 형상부(4)는 회전 테이블(2)의 회전 중심으로부터 140㎜ 외주측으로 이격된 부위[후술하는 돌출부(5)와의 경계 부위]에 있어서는, 둘레 방향의 길이[회전 테이블(2)과 동심원의 원호의 길이]가, 예를 들어 146㎜이고, 웨이퍼(W)의 적재 영역[오목부(24)]의 가장 외측 부위에 있어서는, 둘레 방향의 길이가, 예를 들어 502㎜이다. 또한, 당해 외측 부위에 있어서 분리 가스 노즐[41(42)]의 양쪽으로부터 각각 좌우에 위치하는 볼록 형상부(4)의 둘레 방향의 길이로 보면, 이 길이는 246㎜이다.
또한, 도 4의 (a)에 도시한 바와 같이 볼록 형상부(4)의 하면, 즉 천장면(44)에 있어서의 회전 테이블(2)의 표면까지의 높이(h)는, 예를 들어 0.5㎜로부터 10㎜라도 좋고, 약 4㎜이면 적합하다. 이 경우, 회전 테이블(2)의 회전 속도는, 예를 들어 1rpm 내지 500rpm이면 좋다. 그로 인해 분리 영역(D)의 분리 기능을 확보하기 위해서는, 회전 테이블(2)의 회전 속도에 따라서, 볼록 형상부(4)의 크기나 볼록 형상부(4)의 하면[제1 천장면(44)]과 회전 테이블(2)의 표면의 높이(h)를, 예를 들어 실험 등에 기초하여 설정하면 바람직하다. 또한, 분리 가스로서는 질소(N2) 가스로 한정되지 않고 아르곤(Ar) 가스 등의 불활성 가스 등을 사용하면 좋고, 또한 이와 같은 가스로 한정되지 않고 수소(H2) 가스 등이라도 좋고, 성막 처리에 영향을 미치지 않는 가스이면, 가스의 종류에 관해서는 특별히 한정되지 않는다.
한편, 천장판(11)의 하면에는, 도 4 및 도 8에 도시한 바와 같이 회전 테이블(2)을 고정하는 코어부(21)의 외주면을 따라서 또한 대향하도록 돌출부(5)가 설치되어 있다. 이 돌출부(5)는 볼록 형상부(4)와 연속해서 형성되어 있고, 그 하면이 볼록 형상부(4)의 하면[천장면(44)]과 동일한 높이로 형성되어 있다. 도 2 및 도 3은 천장면(45)보다도 낮고 또한 분리 가스 노즐(41, 42)보다도 높은 위치에서 천장판(11)을 수평으로 절단하여 도시하고 있다. 또한, 돌출부(5)와 볼록 형상부(4)는 반드시 일체인 것으로 한정되는 것이 아니라, 별체라도 좋다.
또한, 볼록 형상부(4)가 되는 1매의 부채형 플레이트의 중앙에 홈부(43)를 형성하고, 이 홈부(43) 내에 분리 가스 노즐[41(42)]을 배치함으로써 분리 영역(D)을 구성하는 것으로 한정되지 않고, 분리 가스 노즐[41(42)]의 양측에 있어서 2매의 부채형 플레이트를 천장판(11)의 하면에 볼트 체결 등에 의해 고정함으로써 분리 영역(D)을 구성해도 좋다.
진공 용기(1)의 천장판(11)의 하면, 즉 회전 테이블(2)에 대한 천장면은 상술한 바와 같이 제1 천장면(44)과 이 천장면(44)보다도 높은 제2 천장면(45)이 교대로 둘레 방향으로 존재하지만, 도 1에서는 높은 천장면(45)이 설치되어 있는 영역에 대한 종단면을 도시하고 있다. 부채형의 볼록 형상부(4)는 주연부[진공 용기(1)의 외측 테두리측의 부위]에 있어서, 도 2에 도시되어 있는 바와 같이, L자형으로 굴곡되어, 회전 테이블(2)의 외측 단부면과 용기 본체(12)의 내주면 사이의 공간을 메우는 굴곡부(46)를 갖고 있다. 부채형의 볼록 형상부(4)는 천장판(11)측에 설치되어 있고, 용기 본체(12)로부터 제거될 수 있도록 되어 있으므로, 굴곡부(46)의 외주면과 용기 본체(12)의 내주면 사이에는 약간 간극이 있다. 이 굴곡부(46)도 볼록 형상부(4)와 마찬가지로 양측으로부터 반응 가스가 침입하는 것을 방지하고, 양 반응 가스의 혼합을 방지하는 목적으로 형성되어 있고, 굴곡부(46)의 내주면과 회전 테이블(2)의 외측 단부면의 간극 및 굴곡부(46)의 외주면과 용기 본체(12)의 내주면의 간극은, 예를 들어 회전 테이블(2)의 표면에 대한 천장면(44)의 높이(h)와 동일한 치수이면 좋다. 본 예에 있어서는, 회전 테이블(2)의 표면측 영역으로부터는, 굴곡부(46)의 내주면이 진공 용기(1)의 내주벽을 구성하고 있다고 볼 수 있다.
용기 본체(12)의 내주면은 분리 영역(D)에 있어서 굴곡부(46)의 외주면에 접근하고 있지만, 제1 처리 영역(P1) 및 제2 처리 영역(P2)에 있어서는, 도 1에 도시한 바와 같이, 예를 들어 회전 테이블(2)의 외측 단부면과 대향하는 부위로부터 저부(14)에 걸쳐서 외측으로 움푹 패여 있다. 이하, 이 움푹 패인 부분에 있어서의 제1 처리 영역(P1) 및 제2 처리 영역(P2)에 연통하는 영역을 각각 제1 배기 영역(E1) 및 제2 배기 영역(E2)이라고 부른다. 도 1 및 도 3에 도시한 바와 같이, 제1 배기 영역(E1)의 저부에는 배기구(61)가 형성되고, 제2 배기 영역(E2)의 저부에는 배기구(62)가 형성되어 있다. 도 1에 도시한 바와 같이, 이들 배기구(61, 62)는 각각 배기관(63)을 통해 진공 배기부인, 예를 들어 공통의 진공 펌프(64)에 접속되어 있다. 또한 도 1 중, 참조 부호 65는 압력 조정부이고, 각각의 배기관(63)마다 설치되어 있다.
본 실시 형태에서는, 배기구(61, 62)는 분리 영역(D)의 분리 작용이 확실하게 작용하도록, 도 3에 도시한 바와 같이, 위에서 보았을 때에 분리 영역(D)의 회전 방향 양측에 형성되어 있다. 상세하게는, 제1 처리 영역(P1)과, 이 제1 처리 영역(P1)에 대해 회전 테이블(2)의 회전 방향 하류측에 인접하는 분리 영역(D) 사이에 제1 배기구(61)가 형성되고, 제2 처리 영역(P2)과, 이 제2 처리 영역(P2)에 대해 회전 테이블(2)의 회전 방향 하류측에 인접하는 분리 영역(D) 사이에 제2 배기구(62)가 형성되어 있다. 이에 의해, 주로, 이 배기구(61)는 BTBAS 가스를 배기하고, 배기구(62)는 O3 가스를 배기할 수 있다. 본 예에서는, 한쪽의 배기구(61)는 제1 반응 가스 노즐(31)과 이 반응 가스 노즐(31)에 대해 회전 방향 하류측에 인접하는 분리 영역(D)의 제1 반응 가스 노즐(31)측의 테두리의 연장선 사이에 형성되고, 또 다른 쪽의 배기구(62)는 제2 반응 가스 노즐(32)과 이 반응 가스 노즐(32)에 대해 회전 방향 하류측에 인접하는 분리 영역(D)의 제2 반응 가스 노즐(32)측의 테두리의 연장선 사이에 형성되어 있다. 즉, 제1 배기구(61)는 도 3 중 1점 쇄선으로 나타낸 회전 테이블(2)의 중심과 제1 처리 영역(P1)을 지나는 직선(L1)과, 회전 테이블(2)의 중심과 제1 처리 영역(P1)의 하류측에 인접하는 분리 영역(D)의 상류측의 테두리를 지나는 직선(L2) 사이에 형성되고, 제2 배기구(62)는 이 도 3에 2점 쇄선으로 나타낸 회전 테이블(2)의 중심과 제2 처리 영역(P2)을 지나는 직선(L3)과, 회전 테이블(2)의 중심과 제2 처리 영역(P2)의 하류측에 인접하는 분리 영역(D)의 상류측의 테두리를 지나는 직선(L4) 사이에 위치하고 있다.
본 실시 형태에서는, 2개의 배기구(61, 62)가 형성되어 있지만, 다른 실시 형태에서는, 예를 들어 3개 이상의 배기구를 형성해도 좋다. 또한, 본 실시 형태에서는, 배기구(61, 62)는 회전 테이블(2)보다도 낮은 위치에 형성되어, 용기 본체(12)의 내주면과 회전 테이블(2)의 주연 사이의 간극으로부터 배기하도록 하고 있지만, 용기 본체(12)의 저부에 형성하는 것으로 한정되지 않고, 용기 본체(12)의 측벽에 형성해도 좋다. 또한, 배기구(61, 62)는, 진공 용기(1)의 측벽에 형성하는 경우에는 회전 테이블(2)보다도 높은 위치에 형성하도록 해도 좋다. 이와 같이 배기구(61, 62)를 형성함으로써 회전 테이블(2) 상의 가스는 회전 테이블(2)의 외측을 향해 흐르기 때문에, 회전 테이블(2)에 대향하는 천장면으로부터 배기하는 경우에 비해 파티클의 말려 올라감이 억제된다고 하는 관점에 있어서 유리하다.
회전 테이블(2)의 주연 부근의 하방측에는, 회전 테이블(2)의 상방 공간으로부터 배기 영역(E)에 이를 때까지의 분위기와 회전 테이블(2)의 하방 영역의 분위기를 구획하기 위해, 회전 테이블(2)의 주연부를 따라서 둘레 방향에 걸쳐서 커버 부재(71)가 설치되어 있다. 이 커버 부재(71)는 상부 테두리가 외측으로 굴곡되어 플랜지 형상으로 형성되고, 그 굴곡면과 회전 테이블(2)의 하면 사이의 간극을 작게 하여, 커버 부재(71) 내에 외측으로부터 가스가 침입하는 것을 억제하고 있다.
회전 테이블(2)의 하방 영역에 있어서의 회전 중심 근처의 부위에 있어서의 저부(14)는 회전 테이블(2)의 하면의 중심부 부근 및 코어부(21)에 접근하고 있고, 저부(14)와 중심부 부근 및 코어부(21) 사이에는 좁은 공간이 형성되어 있다. 또한, 저부(14)를 관통하는 회전축(22)의 관통 구멍의 내주면과 회전축(22)의 간극이 좁게 되어 있고, 이들 좁은 공간은 케이스체(20) 내에 연통하고 있다. 그리고, 케이스체(20)에는 퍼지 가스인 N2 가스를 좁은 공간 내에 공급하여 퍼지하기 위한 퍼지 가스 공급관(72)이 설치되어 있다. 또한, 진공 용기(1)의 저부(14)에는 회전 테이블(2)의 하방측 위치에서 둘레 방향의 복수 부위에, 이 회전 테이블(2)의 하방 영역을 퍼지하기 위한 퍼지 가스 공급관(73)이 설치되어 있다.
이와 같이 퍼지 가스 공급관(72, 73)을 설치함으로써 도 8에 퍼지 가스의 흐름을 화살표로 나타낸 바와 같이, 케이스체(20) 내로부터 회전 테이블(2)의 하방 영역에 이를 때까지의 공간이 N2 가스로 퍼지되어, 이 퍼지 가스가 회전 테이블(2)과 커버 부재(71) 사이의 간극으로부터 배기 영역(E)을 통해 배기구(61, 62)에 배기된다. 이에 의해, 상술한 제1 처리 영역(P1)과 제2 처리 영역(P2)의 한쪽으로부터 회전 테이블(2)의 하방을 통해 타측으로 BTBAS 가스 혹은 O3 가스가 돌아 들어가는 것이 방지되므로, 이 퍼지 가스는 분리 가스의 역할도 발휘하고 있다.
또한, 도 8에 도시한 바와 같이, 진공 용기(1)의 천장판(11)의 중심부에는 분리 가스 공급관(51)이 접속되어 있다. 분리 가스 공급관(51)으로부터는 천장판(11)과 코어부(21) 사이의 공간(52)에 분리 가스인 N2 가스가 공급되어 있다. 이 공간(52)에 공급된 분리 가스는, 도 8에 도시한 바와 같이 돌출부(5)와 회전 테이블(2)의 좁은 간극(50)을 통해 회전 테이블(2)의 웨이퍼 적재 영역측의 표면을 따라서 주연을 향해 토출된다. 이 돌출부(5)로 둘러싸이는 공간은 분리 가스로 가득 차 있으므로, 제1 처리 영역(P1)과 제2 처리 영역(P2) 사이에서 회전 테이블(2)의 중심부를 통해 반응 가스(BTBAS 가스 및 O3 가스)가 혼합되는 것을 방지하고 있다. 즉, 본 실시 형태의 성막 장치는 제1 처리 영역(P1)과 제2 처리 영역(P2)의 분위기를 분리하기 위해 회전 테이블(2)의 회전 중심부와 천장판(11)에 의해 구획되어, 분리 가스가 퍼지되는 동시에 당해 회전 테이블(2)의 표면에 분리 가스를 토출하는 토출구가 회전 방향을 따라서 형성된 중심부 영역(C)을 구비하고 있다고 할 수 있다. 또한, 여기서 말하는 토출구는 돌출부(5)와 회전 테이블(2)의 좁은 간극(50)에 상당한다.
또한, 진공 용기(1)의 측벽에는, 도 2 및 도 3에 도시한 바와 같이 외부의 반송 아암(10)(도 3 참조)과 회전 테이블(2) 사이에서 기판인 웨이퍼(W)의 전달을 행하기 위한 반송구(15)가 형성되어 있고, 이 반송구(15)는 도시하지 않은 게이트 밸브에 의해 개폐된다. 또한, 회전 테이블(2)에 있어서의 웨이퍼 적재 영역인 오목부(24)는 이 반송구(15)에 면하는 위치에서 반송 아암(10)과의 사이에서 웨이퍼(W)의 전달이 행해지므로, 회전 테이블(2)의 하방측에 있어서 당해 전달 위치에 대응하는 부위에, 오목부(24)를 관통하여 웨이퍼(W)를 이면으로부터 들어올리기 위한 전달용 승강 핀 및 그 승강 기구(모두 도시하지 않음)가 설치되어 있다.
또한, 본 실시 형태의 성막 장치에는 장치 전체의 동작의 컨트롤을 행하기 위한 컴퓨터로 이루어지는 제어부(100)가 설치되어 있고, 이 제어부(100)의 메모리 내에는 후술하는 성막 처리 및 개질 처리를 행하기 위한 프로그램이 저장되어 있다. 이 프로그램은 후술하는 장치의 동작을 실행하도록 스텝군이 짜여져 있고, 하드 디스크, 콤팩트 디스크, 광자기 디스크, 메모리 카드, 플렉시블 디스크 등의 기억 매체로부터 제어부(100) 내로 인스톨된다.
다음에, 상술한 실시 형태의 작용에 대해 설명한다. 우선, 도시하지 않은 게이트 밸브를 개방하여, 외부로부터 반송 아암(10)에 의해 반송구(15)를 통해 웨이퍼(W)를 회전 테이블(2)의 오목부(24) 내로 전달한다. 이 전달은 오목부(24)가 반송구(15)에 면하는 위치에 정지했을 때에 오목부(24)의 저면의 관통 구멍을 통해 진공 용기의 저부측으로부터 도시하지 않은 승강 핀이 승강됨으로써 행해진다. 이와 같은 웨이퍼(W)의 전달이 회전 테이블(2)을 간헐적으로 회전시켜 행해지고, 회전 테이블(2)의 5개의 오목부(24) 내에 각각 웨이퍼(W)를 적재한다. 계속해서 게이트 밸브를 폐쇄하여, 진공 펌프(64)에 의해 진공 용기(1) 내를 도달 가능 압력까지 배기한 후, 분리 가스 노즐(41, 42)로부터 분리 가스인 N2 가스를 소정의 유량으로 토출하고, 분리 가스 공급관(51) 및 퍼지 가스 공급관(72, 72)으로부터도 N2 가스를 소정의 유량으로 공급하는 동시에, 압력 조정부(65)에 의해 진공 용기(1) 내를 미리 설정한 처리 압력으로 조정한다. 다음에, 회전 테이블(2)을 시계 방향으로 회전시킨다. 그리고, 반응 가스 노즐(31, 32)로부터 각각 BTBAS 가스 및 O3 가스를 토출하는 동시에, 전원(204)으로부터 레이저 조사부(201)에 대해, 예를 들어 67J/㎠의 에너지 밀도로, 웨이퍼(W)의 표면이 순시에, 예를 들어 800℃로 되도록 레이저 조사부(201)로부터 회전 테이블(2)을 향해 레이저광을 조사한다.
회전 테이블(2)의 회전에 의해 웨이퍼(W)가 제1 처리 영역(P1)에 도달하면, 웨이퍼(W)의 표면에는 BTBAS 가스가 흡착된다. 계속해서, 제2 처리 영역(P2)에서는 웨이퍼(W)의 표면에 O3 가스가 접촉한다. 이 O3 가스는 배기구(62)로부터의 배기에 의해, 혹은 회전 테이블(2)의 회전에 수반하여, 웨이퍼(W)와 함께 하류측으로 통과해 간다. 그리고, 웨이퍼(W)와 O3 가스가 조사 영역(P3)에 도달하면, 웨이퍼(W)의 표면이 순시에, 예를 들어 800℃로 가열되므로, 도 9에 도시한 바와 같이 O3 가스와 웨이퍼(W) 상에 흡착한 BTBAS 가스가 반응하고, 즉 BTBAS 가스가 산화되어 산화 실리콘막의 분자층이 1층 혹은 복수층 형성된다.
레이저광에 의한 가열이 아니라, 예를 들어 히터 등에 의해 웨이퍼(W)의 가열 온도, 예를 들어 350℃ 정도로 웨이퍼(W)를 가열한 경우에는, 예를 들어 BTBAS의 잔류기 등이 남아, 막 중에, 예를 들어 수분(OH기)이나 유기물 등의 불순물이 포함되어 버리는 경우가 있다. 그러나, 레이저광을 사용하여 웨이퍼(W)의 표면을 순시에 상기와 같이 높은 온도로 가열함으로써, 산화 실리콘막의 생성과 함께, 산화 실리콘막으로부터 상기한 불순물이 방출되거나, 산화 실리콘막 내의 원소가 재배열되어 산화 실리콘막의 치밀화(고밀도화)가 도모되게 된다. 말하자면, 레이저광에 의해, 성막 처리와 함께 산화 실리콘막의 개질 처리가 행해지게 된다. 따라서, 이 산화 실리콘막은 종래의 ALD법에 의해 성막한 경우보다도, 치밀화되어 웨트 에칭에 대해 높은 내성을 갖고 있다. 또한, 산화 실리콘막과 함께 생성된 부생성물은 N2 가스나 O3 가스와 함께 배기구(62)를 향해 배기되어 간다.
이와 같이 하여 웨이퍼(W)가 띠 형상으로 형성된 조사 영역(P3)을 통과함으로써, 면내에 걸쳐서 산화 실리콘막의 성막 처리와 개질 처리가 행해지게 된다. 그리고, 회전 테이블(2)의 회전에 의해 BTBAS 가스의 흡착과, O3 가스의 흡착과, 성막 처리(BTBAS 가스의 O3 가스에 의한 산화) 및 개질 처리가 행해져 산화 실리콘막이 순차적으로 적층되어 가, 웨이퍼(W)의 면내에 걸쳐서, 또한 막 두께 방향에 있어서, 치밀하고 또한 웨트 에칭에 대한 내성이 높은 박막이 형성되게 된다.
이때, 제1 처리 영역(P1)과 제2 처리 영역(P2) 사이의 분리 영역(D)에 있어서 N2 가스를 공급하고, 또한 중심부 영역(C)에 있어서도 분리 가스인 N2 가스를 공급하고 있으므로, 도 10에 도시한 바와 같이 BTBAS 가스와 O3 가스가 혼합되지 않도록 각 가스가 배기되게 된다. 또한, 분리 영역(D)에 있어서는, 굴곡부(46)와 회전 테이블(2)의 외측 단부면 사이의 간극이 상술한 바와 같이 좁게 되어 있으므로, BTBAS 가스와 O3 가스는 회전 테이블(2)의 외측을 통해서도 혼합되지 않는다. 따라서, 제1 처리 영역(P1)의 분위기와 제2 처리 영역(P2)의 분위기가 완전히 분리되어, BTBAS 가스는 배기구(61)에, 또한 O3 가스는 배기구(62)에 각각 배기된다. 이 결과, BTBAS 가스와 O3 가스가 분위기 중에 있어서도, 웨이퍼(W) 상에 있어서도 서로 섞이는 경우가 없다.
또한, 본 예에서는 반응 가스 노즐(31, 32)이 배치되어 있는 제2 천장면(45)의 하방측의 공간을 따른 용기 본체(12)의 측벽은 외측으로 움품 패여 넓은 공간이 형성되고, 이 넓은 공간의 하방에 배기구(61, 62)가 위치하고 있으므로, 제1 천장면(44)의 하방측의 협애한 공간 및 중심부 영역(C)의 각 압력보다도 제2 천장면(45)의 하방측의 공간의 압력의 쪽이 낮아진다.
또한, 회전 테이블(2)의 하방측을 N2 가스에 의해 퍼지하고 있으므로, 배기 영역(E)으로 유입된 가스가 회전 테이블(2)의 하방측을 빠져나가, 예를 들어 BTBAS 가스가 O3 가스의 공급 영역으로 유입되는 등의 우려는 없다.
여기서 처리 파라미터의 일례에 대해 기재해 두면, 회전 테이블(2)의 회전 속도는 300㎜ 직경의 웨이퍼(W)를 피처리 기판으로 하는 경우, 예를 들어 1rpm 내지 500rpm, 프로세스 압력은, 예를 들어 1067㎩(8Torr), BTBAS 가스 및 O3 가스의 유량은, 예를 들어 각각 100sccm 및 10000sccm, 분리 가스 노즐(41, 42)로부터의 N2 가스의 유량은, 예를 들어 20000sccm, 진공 용기(1)의 중심부의 분리 가스 공급관(51)으로부터의 N2 가스의 유량은, 예를 들어 5000sccm이다. 또한, 1매의 웨이퍼(W)에 대한 반응 가스 공급의 사이클 수, 즉 웨이퍼(W)가 처리 영역(P1, P2) 및 조사 영역(P3)의 각각을 통과하는 횟수는 목표 막두께에 따라서 바뀌지만, 예를 들어 1000회이다.
상술한 실시 형태에 따르면, 회전 테이블(2)을 회전시켜 웨이퍼(W) 상에 BTBAS 가스를 흡착시키고, 계속해서 웨이퍼(W)의 표면에 O3 가스를 공급하여 웨이퍼(W)의 표면에 흡착한 BTBAS 가스를 산화시켜 산화 실리콘막을 성막하는 데 있어서, 웨이퍼(W)를 가열하여 산화 실리콘막(반응 생성물)을 생성시키기 위한 가열부로서, 회전 테이블(2)의 내주측으로부터 외주측에 걸쳐서 띠 형상으로 레이저광을 조사하는 레이저 조사부(201)를 사용하고 있다. 그로 인해, 웨이퍼(W)의 표면을 순시에 가열할 수 있으므로, 예를 들어 히터 등에 의해 회전 테이블(2) 상의 웨이퍼(W) 전체를 가열하는 경우에 비해, 반응 생성물을 생성시키기 위한 소비 에너지를 작게 억제할 수 있다. 그로 인해, 가열부(히터)로부터의 복사열을 억제할 수 있으므로, 진공 용기(1) 내나 장치 전체를 냉각하는 냉각 기구를 생략 혹은 간략화할 수 있다. 이때, 레이저광의 광로[조사 영역(P3)]가 띠 형상으로 형성되어 있지만, 회전 테이블(2)의 회전에 의해 웨이퍼(W)가 조사 영역(P3)을 통과하여 웨이퍼(W)의 전체면에 걸쳐서 레이저광을 조사할 수 있으므로, 예를 들어 웨이퍼(W)의 표면 전체에 한번에 면 형상의 레이저광을 조사하는 경우보다도 소비 에너지를 억제할 수 있다. 또한, 레이저광에 의해 웨이퍼(W)의 표층(표면)이 순시에 고온으로 가열되므로, 성막 처리와 함께 개질 처리가 행해져, 치밀하고 불순물이 적고, 또한 웨트 에칭에 대한 내성이 큰 박막을 얻을 수 있다. 또한, 레이저 조사부(201)에 의해 웨이퍼(W)의 표층을 순시에 가열하고 있으므로, 예를 들어 어닐 처리에 의해 웨이퍼(W) 전체를 가열하여 개질 처리를 행하는 경우에 비해, 웨이퍼(W)에 대한 열적인 데미지를 작게 억제할 수 있다.
또한, 레이저광에 의해 성막 처리와 함께 개질 처리를 행하고 있으므로, 진공 용기(1)의 내부에 있어서 성막 사이클을 행할 때마다 개질 처리를 행하고 있게 되어, 회전 테이블(2)의 둘레 방향에 있어서 웨이퍼(W)가 각 처리 영역(P1, P2)을 통과하는 경로의 도중에 있어서 성막 처리에 간섭하지 않도록 개질 처리를 행하고 있으므로, 예를 들어 박막의 성막이 완료된 후에 개질 처리를 행하는 것보다도 단시간에 개질 처리를 행할 수 있다.
또한, 예를 들어 웨이퍼(W)의 표면에 패턴이 형성되어 있는 경우에는, 웨이퍼(W)를 가열하기 위한 가열부로서 레이저광을 사용함으로써, 패턴 내부까지 레이저광을 도달시켜 면내에 걸쳐서 균질한 성막 처리 및 개질 처리를 행할 수 있다.
또한, 본 실시 형태에 관한 성막 장치는 회전 테이블(2)의 회전 방향으로 복수의 웨이퍼(W)를 배치하여, 회전 테이블(2)을 회전시켜 제1 처리 영역(P1)과 제2 처리 영역(P2)을 순서대로 통과시켜, 소위 ALD(혹은 MLD)를 행하도록 하고 있으므로, 높은 처리량으로 성막 처리를 행할 수 있다. 그리고, 회전 방향에 있어서 제1 처리 영역(P1)과 제2 처리 영역(P2) 사이에 낮은 천장면을 구비한 분리 영역(D)을 설치하는 동시에 회전 테이블(2)의 회전 중심부와 진공 용기(1)에 의해 구획한 중심부 영역(C)으로부터 회전 테이블(2)의 주연을 향해 분리 가스를 토출하고, 분리 영역(D)의 양측으로 확산되는 분리 가스와 중심부 영역(C)으로부터 토출되는 분리 가스와 함께, 반응 가스가 회전 테이블(2)의 주연과 진공 용기의 내주면의 간극을 통해 배기되므로, 양 반응 가스의 혼합을 방지할 수 있다. 이 결과, 양호한 성막 처리를 행할 수 있고, 회전 테이블(2) 상에 있어서 반응 생성물이 발생하는 경우가 전혀 없거나 최대한 억제되어, 파티클의 발생이 억제된다. 또한, 회전 테이블(2)에는 1매의 웨이퍼(W)를 적재해도 좋다.
상기한 반응 생성물을 성막하기 위한 처리 가스로서는, 제1 반응 가스로서, DCS[디클로로실란], HCD[헥사클로로디실란], TMA[트리메틸알루미늄], 3DMAS[트리스디메틸아미노실란], TEMAZ[테트라키스에틸메틸아미노지르코늄], TEMAH[테트라키스에틸메틸아미노하프늄], Sr(THD)2[스트론튬비스테트라메틸헵탄디오나토], Ti(MPD)(THD)[티타늄메틸펜탄디오나토비스테트라메틸헵탄디오나토], 모노아미노실란 등을 채용하여, 이들 원료 가스를 산화하는 산화 가스인 제2 반응 가스로서 수증기 등을 채용해도 좋다. 또한, 예를 들어 Si를 포함하는 제1 반응 가스(예를 들어, 디클로로실란 가스)와 N을 포함하는 제2 반응 가스(예를 들어, 암모니아 가스)를 사용하여 SiN막을 성막하는 프로세스에 본 발명의 실시 형태에 의한 성막 장치를 사용해도 좋다.
상기한 실시 형태에서는, 1개의 레이저 조사부(201)에 의해 성막 처리와 개질 처리를 행하도록 하였지만, 예를 들어 이 레이저 조사부(201)를 회전 테이블(2)의 회전 방향을 따라서 복수, 예를 들어 2개 배열하여 배치해도 좋다. 이 경우에는 각각의 레이저 조사부(201)의 광원(202)(레이저광의 조사 파장)을 바꾸어도 좋다. 구체적으로는, 복수의 레이저 조사부(201) 중, 예를 들어 회전 테이블(2)의 회전 방향 상류측[반송구(15)측]에 있어서의 하나의 레이저 조사부(201)에 대해서는 성막 처리만을 행하므로, 적외 영역, 예를 들어 반도체 레이저의 레이저광을 조사할 수 있도록 구성하고, 당해 하나의 레이저 조사부(201)의 하류측[제1 반응 가스 노즐(31)측]에 있어서의 다른 레이저 조사부(201)에 대해서는 개질 처리만을 행하거나, 혹은 성막 처리와 함께 개질 처리를 행하므로, 자외 영역, 예를 들어 엑시머 레이저의 레이저광을 조사할 수 있도록 구성해도 좋다. 300℃ 내지 500℃로 성막한 산화 실리콘막은 OH기를 많이 포함하고 있는 경우가 있어, 이 OH기는 막질 열화의 하나의 요인이다. 이 O-H 결합의 결합 해리 에너지는 424 내지 493kJ/mol(4.4 내지 5.1eV)이고, 그 결합 해리 에너지는 240 내지 280㎚의 자외광의 에너지에 상당한다. 따라서, 이 자외 영역의 레이저광을 웨이퍼(W)에 조사함으로써, 막 중의 OH기를 저감 혹은 제거할 수 있다. 이 경우에는, 상기 하나(적외 영역)의 레이저 조사부(201)에 대해 상술한 실시 형태에 있어서의 에너지 밀도보다도 작은 에너지 밀도, 예를 들어 30J/㎠로 성막 처리를 행하고, 다른(자외 영역) 레이저 조사부(201)에서는 파장이, 예를 들어 248㎚인 KrF 레이저광을 조사하여 개질 처리를 행한다. 즉, 복수의 레이저 조사부(201)에 있어서, 레이저광의 광원(202)과 레이저 조사부(201)의 에너지 밀도를 각각 조정함으로써, 성막 처리와 개질 처리가 개별로 행해지게 된다. 이 경우에 있어서도, 상기한 실시 형태와 동일한 효과가 얻어진다.
또한, 성막 시의 산소원으로서 공급하는 O3 가스는 그 열분해에 의해 활성 산소(O[3P])를 발생시켜, 이 활성 산소가 BTBAS 가스의 산화종으로 되어 있다. 여기서, O3 가스의 공급과 동시에 자외 레이저, 예를 들어 파장이 248㎚인 KrF 레이저광을 조사함으로써, O[3P]에 비해 훨씬 높은 반응(산화) 속도를 제공 가능한 활성 산소(O[1D])를 발생시킬 수 있다. 그로 인해, 자외 레이저광을 사용함으로써, 산화 실리콘막의 생성(BTBAS의 산화)을 빠르게 행할 수 있다. 따라서, 보다 에너지가 높은 단파장의, 예를 들어 Xe2 엑시머 레이저광(파장 : 172㎚)을 조사함으로써, O3 가스가 아니라 O2 가스로부터 활성 산소(O[3P], O[1D])를 직접 발생시킬 수 있으므로, O3 가스의 공급 장치(오조나이저)가 불필요해져, 장치 비용을 저감시킬 수 있다. 이때, 자외 영역의 레이저광 대신에, 엑시머 램프를 설치해도 좋다.
또한, 상기한 실시 형태에서는, 레이저 조사부(201)에 의해 성막 처리와 개질 처리를 행하도록 하였지만, 예를 들어 레이저 조사부(201)에 상기와 같이 적외 영역의 광원(202)을 설치하는 동시에, 회전 테이블(2)의 회전 방향에 있어서 레이저 조사부(201)의 하류측의 분리 영역(D)과의 사이에 플라즈마 유닛을 설치하여, 조사 영역(P3)에 있어서, 예를 들어 38J/㎠의 에너지 밀도로 웨이퍼(W)를, 예를 들어 450℃로 순시에 가열하여 성막 처리만을 행하고, 계속해서 플라즈마 유닛에 의해 개질 처리를 행해도 좋다. 또한, 박막을 성막한 후에 별도 외부의 어닐 장치에 있어서 어닐 처리(개질 처리)를 행하는 경우에는, 마찬가지로 레이저 조사부(201)에 있어서 성막 처리만을 행하도록 해도 좋다. 이와 같은 경우에 있어서도, 회전 테이블(2) 상의 5매의 웨이퍼(W)를 가열하는 히터를 설치하는 경우에 비해, 장치의 소비 에너지를 작게 억제할 수 있다.
또한, 회전 테이블(2) 상의 웨이퍼(W) 전체를 가열하는 히터를 설치하여, 이 히터에 의해 성막 처리를 행하도록 해도 좋다. 그와 같은 예에 대해 도 11을 참조하여 설명하면, 회전 테이블(2)과 진공 용기(1)의 저부(14) 사이의 공간에는, 가열부인 히터 유닛(7)이 둘레 방향에 걸쳐서 설치되어 있고, 회전 테이블(2)을 통해 회전 테이블(2) 상의 웨이퍼(W)를 프로세스 레시피에서 결정된 온도, 예를 들어 450℃로 가열하도록 구성되어 있다. 또한, 본 예에서는, 광원(202)(레이저광의 파장) 및 레이저 조사부(201)의 에너지 밀도로서는, 성막 처리와 개질 처리를 행하는 경우와 각각 마찬가지로 설정되게 된다.
이 경우에는, 제2 처리 영역(P2)에서 O3 가스에 의해 웨이퍼(W)의 표면에 흡착된 BTBAS 가스가 산화되어 산화 실리콘막이 생성된다. 그리고, 이 산화 실리콘막 중에 불순물이 포함되어 있는 경우에는, 조사 영역(P3)에 있어서 막 중으로부터 불순물이 배출되어 개질 처리가 행해지게 된다. 이 경우에 있어서도, 히터 유닛(7)만을 사용하여 성막 처리와 개질 처리를 행하는 경우보다도, 소비 에너지를 억제할 수 있다. 즉, 레이저 조사부(201)에 의해 성막 처리와 개질 처리의 적어도 한쪽을 행하도록 하면 좋다. 또한, 히터 유닛(7) 및 레이저 조사부(201)에 의해 성막 처리만을 행하도록 해도 좋다.
또한, 상기한 예에서는, 레이저 조사부(201)로서 1개의 광원(202)으로부터 조사되는 레이저광을 광학 부재(203)를 사용하여 띠 형상으로 사다리꼴 형상으로 확장하였지만, 회전 테이블(2)의 중심측으로부터 외주측을 향해 넓어지는 부채 형상으로 되도록 조사 영역(P3)을 형성해도 좋고, 라인 형상 또는 면 형상[예를 들어, 웨이퍼(W)와 동일한 직경의 원]으로 형성해도 좋다. 또한, 복수의 광원(202)과 광학 부재(203)를 회전 테이블(2)의 내주측으로부터 외주측으로 배열해도 좋고, 또한 1개의 광원(202)을 사용하는 동시에, 조사 영역(P3)의 하방 위치에서 웨이퍼(W)를 정지시켜, 도시하지 않은 미러를 사용하여 레이저광을 회전 테이블(2)의 내주측으로부터 외주측에 걸쳐서 주사하고, 계속해서 약간 웨이퍼(W)를 이동시켜 다시 레이저광을 주사하고, 순차적으로 웨이퍼(W)의 이동과 레이저광의 주사를 반복하여 면내에 걸쳐서 레이저광을 조사하도록 해도 좋다. 또한, 파장이 다른 복수의 광원(202)을 배치해 두고, 예를 들어 성막하는 막종 등에 따라서 레이저광의 파장(여기 재료)을 바꾸도록 해도 좋다. 이 레이저 조사부(201)의 설치 위치로서는, 상술한 바와 같이 제2 반응 가스 노즐(32)과, 회전 테이블(2)의 회전 방향에 있어서 제2 반응 가스 노즐(32)의 하류측의 분리 영역(D)의 회전 방향 상류측의 테두리의 사이이면 좋지만, 예를 들어 제2 반응 가스 노즐(32)의 상방 위치에 배치해도 좋다.
그리고, 분리 가스 공급 노즐[41(42)]의 양측에 각각 위치하는 협애한 공간을 형성하는 제1 천장면(44)은, 도 12의 (a), 도 12의 (b)에 분리 가스 공급 노즐(41)을 대표하여 도시한 바와 같이, 예를 들어 300㎜ 직경의 웨이퍼(W)를 피처리 기판으로 하는 경우, 웨이퍼(W)의 중심(WO)이 통과하는 부위에 있어서 회전 테이블(2)의 회전 방향을 따른 폭 치수(L)가 50㎜ 이상인 것이 바람직하다. 볼록 형상부(4)의 양측으로부터 당해 볼록 형상부(4)의 하방(협애한 공간)으로 반응 가스가 침입하는 것을 유효하게 저지하기 위해서는, 폭 치수(L)가 짧은 경우에는 그것에 따라서 제1 천장면(44)과 회전 테이블(2) 사이의 거리도 작게 할 필요가 있다. 또한, 제1 천장면(44)과 회전 테이블(2) 사이의 거리를 어느 치수로 설정하였다고 하면, 회전 테이블(2)의 회전 중심으로부터 이격될수록, 회전 테이블(2)의 속도가 빨라지므로, 반응 가스의 침입 저지 효과를 얻기 위해 요구되는 폭 치수(L)는 회전 중심으로부터 이격될수록 길어진다. 이와 같은 관점으로부터 고찰하면, 웨이퍼(W)의 중심(WO)이 통과하는 부위에 있어서의 폭 치수(L)가 50㎜보다도 작으면, 제1 천장면(44)과 회전 테이블(2)의 거리를 상당히 작게 할 필요가 있으므로, 회전 테이블(2)을 회전시켰을 때에 회전 테이블(2) 혹은 웨이퍼(W)와 천장면(44)의 충돌을 방지하기 위해, 회전 테이블(2)의 요동을 최대한 억제하는 고안이 요구된다. 또한, 회전 테이블(2)의 회전수가 높을수록, 볼록 형상부(4)의 상류측으로부터 당해 볼록 형상부(4)의 하방측으로 반응 가스가 침입하기 쉬워지므로, 폭 치수(L)를 50㎜보다도 작게 하면, 회전 테이블(2)의 회전수를 낮게 해야만 해, 처리량의 점에서 득책은 아니다. 따라서 폭 치수(L)가 50㎜ 이상인 것이 바람직하지만, 50㎜ 이하라도 본 실시 형태의 효과가 얻어지지 않는다고 하는 것은 아니다. 즉, 폭 치수(L)가 웨이퍼(W)의 직경의 1/10 내지 1/1인 것이 바람직하고, 약 1/6 이상인 것이 보다 바람직하다. 또한, 도 12의 (a)에 있어서는 도시의 편의상, 오목부(24)의 기재를 생략하고 있다.
또한 본 발명은, 분리 가스 노즐[41(42)]의 양측에 협애한 공간을 형성하기 위해 낮은 천장면(제1 천장면)(44)을 설치하는 것이 필요하지만, 반응 가스 노즐(31, 32)의 양측에도 동일한 낮은 천장면을 설치하여, 이들 천장면을 연속시키는 구성, 즉 분리 가스 노즐[41(42)], 반응 가스 노즐[31(32)]이 설치되는 개소 이외에는, 회전 테이블(2)에 대향하는 영역 전체면에 볼록 형상부(4)를 설치하는 구성으로 해도 동일한 효과가 얻어진다. 이 구성은 다른 관점에서 보면, 분리 가스 노즐[41(42)]의 양측의 제1 천장면(44)이 반응 가스 노즐(31, 32)까지 넓어진 예이다. 이 경우에는, 분리 가스 노즐[41(42)]의 양측으로 분리 가스가 확산되고, 반응 가스 노즐(31, 32)의 양측으로 반응 가스가 확산되어, 양 가스가 볼록 형상부(4)의 하방측(협애한 공간)에서 합류하지만, 이들 가스는 배기구[61(62)]로부터 배기되게 된다.
이상의 실시 형태에서는, 회전 테이블(2)의 회전축(22)이 진공 용기(1)의 중심부에 위치하고, 회전 테이블(2)의 중심부와 진공 용기(1)의 상면부 사이의 공간에 분리 가스를 퍼지하고 있지만, 본 발명은 도 13에 도시한 바와 같이 구성해도 좋다. 도 13의 성막 장치에 있어서는, 진공 용기(1)의 중앙 영역의 저부(14)가 하방측으로 돌출되어 있어 구동부의 수용 공간(80)을 형성하고 있는 동시에, 진공 용기(1)의 중앙 영역의 상면에 오목부(80a)가 형성되고, 진공 용기(1)의 중심부에 있어서 수용 공간(80)의 저부와 진공 용기(1)의 오목부(80a)의 상면 사이에 지주(81)를 개재시켜, 제1 반응 가스 노즐(31)로부터의 BTBAS 가스와 제2 반응 가스 노즐(32)로부터의 O3 가스가 중심부를 통해 혼합되는 것을 방지하고 있다.
회전 테이블(2)을 회전시키는 기구에 대해서는, 지주(81)를 둘러싸도록 회전 슬리브(82)를 설치하여 이 회전 슬리브(82)를 따라서 링 형상의 회전 테이블(2)을 설치하고 있다. 그리고 수용 공간(80)에 모터(83)에 의해 구동되는 구동 기어부(84)를 설치하여, 이 구동 기어부(84)에 의해, 회전 슬리브(82)의 하부의 외주에 형성된 기어부(85)를 통해 당해 회전 슬리브(82)를 회전시키도록 하고 있다. 부호 86, 부호 87 및 부호 88은 베어링부이다. 또한, 수용 공간(80)의 저부에 퍼지 가스 공급관(74)을 접속하는 동시에, 오목부(80a)의 측면과 회전 슬리브(82)의 상단부 사이의 공간에 퍼지 가스를 공급하기 위한 퍼지 가스 공급관(75)을 진공 용기(1)의 상부에 접속하고 있다. 도 13에서는 오목부(80a)의 측면과 회전 슬리브(82)의 상단부 사이의 공간에 퍼지 가스를 공급하기 위한 개구부는 좌우 2개소에 기재하고 있지만, 회전 슬리브(82)의 근방 영역을 통해 BTBAS 가스와 O3 가스가 서로 섞이지 않도록 하기 위해, 개구부(퍼지 가스 공급구)의 배열 수를 설계하는 것이 바람직하다.
도 13의 실시 형태에서는, 회전 테이블(2)측으로부터 보면, 오목부(80a)의 측면과 회전 슬리브(82)의 상단부 사이의 공간은 분리 가스 토출 구멍에 상당하고, 그리고 이 분리 가스 토출 구멍, 회전 슬리브(82) 및 지주(81)에 의해, 진공 용기(1)의 중심부에 위치하는 중심부 영역이 구성된다.
또한, 실시 형태에 관한 각종 반응 가스 노즐을 적용 가능한 성막 장치는, 도 1, 도 2 등에 도시한 회전 테이블형의 성막 장치로 한정되는 것은 아니다. 예를 들어, 회전 테이블(2) 대신에, 벨트 컨베이어 상에 웨이퍼(W)를 적재하여, 서로 구획된 처리실 내에 웨이퍼(W)를 반송하여 성막 처리를 행하는 타입의 성막 장치에 본 실시 형태의 각 반응 가스 노즐을 적용해도 좋고, 또한 고정된 적재대 상에 웨이퍼(W)를 1매씩 적재하여 성막을 행하는 매엽식 성막 장치에 적용해도 좋다. 또한, 각 반응 가스 노즐(31, 32) 및 레이저 조사부(201)에 대해 회전 테이블(2)을 회전시키도록 하였지만, 회전 테이블(2)에 대해 반응 가스 노즐(31, 32) 및 레이저 조사부(201)를 회전시키도록, 즉 반응 가스 노즐(31, 32) 및 레이저 조사부(201)와 회전 테이블(2)을 상대적으로 회전시키도록 해도 좋다. 이 경우에는, 반응 가스 노즐(31, 32) 및 레이저 조사부(201)의 회전 방향이 상대적 회전 방향 상류측으로 된다.

Claims (9)

  1. 진공 용기 내에서 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판의 표면에 공급하고 또한 이 공급 사이클을 실행함으로써 반응 생성물의 층을 다수 적층하여 박막을 형성하는 성막 장치에 있어서,
    상기 진공 용기 내에 설치되어, 기판을 적재하기 위한 기판 적재 영역을 갖는 테이블과,
    이 테이블 상의 상기 기판에 제1 반응 가스를 공급하기 위한 제1 반응 가스 공급부와,
    상기 테이블 상의 상기 기판에 제2 반응 가스를 공급하기 위한 제2 반응 가스 공급부와,
    상기 기판 적재 영역에 대향하도록, 또한 상기 기판 적재 영역 상의 기판에 있어서의 상기 테이블의 중심측의 단부와 상기 테이블의 외주측의 단부 사이에 걸쳐서 띠 형상으로 레이저광을 조사하도록 설치되는 레이저 조사부와,
    상기 제1 반응 가스 공급부, 상기 제2 반응 가스 공급부 및 상기 레이저 조사부와 상기 테이블을 상대적으로 회전시키기 위한 회전 기구와,
    상기 진공 용기 내를 배기하기 위한 진공 배기부를 구비하고,
    상기 제1 반응 가스 공급부, 상기 제2 반응 가스 공급부 및 상기 레이저 조사부는, 상기 상대적인 회전 시에 상기 제1 반응 가스가 공급되는 제1 처리 영역, 상기 제2 반응 가스가 공급되는 제2 처리 영역 및 상기 레이저광이 조사되는 조사 영역의 순으로 기판이 위치하도록 배치되어 있고,
    상기 레이저 조사부는 상기 제1 반응 가스와 상기 제2 반응 가스의 반응 생성물을 개질 가능한 파장을 갖는 레이저광을 방사하는, 성막 장치.
  2. 제1항에 있어서, 상기 레이저 조사부는 상기 기판을 승온 가능한 파장을 갖는 레이저광을 방사하여, 당해 레이저광의 조사 영역을 국소적으로 승온시키는, 성막 장치.
  3. 삭제
  4. 제1항에 있어서, 상기 제1 처리 영역과 상기 제2 처리 영역의 분위기를 분리하기 위해, 상기 테이블의 상대적 회전 방향에 있어서 이들 처리 영역 사이에 각각 설치되어, 분리 가스 공급부로부터 분리 가스가 공급되는 분리 영역을 구비하고,
    상기 조사 영역은, 상기 제2 처리 영역과, 당해 제2 처리 영역의 상기 상대적 회전 방향 하류측에 위치하는 분리 영역 사이에 배치되어 있는, 성막 장치.
  5. 진공 용기 내에서 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판의 표면에 공급하고 또한 이 공급 사이클을 실행함으로써 반응 생성물의 층을 다수 적층하여 박막을 형성하는 성막 방법에 있어서,
    진공 용기 내에 설치된 테이블의 기판 적재 영역에 기판을 적재하는 공정과,
    상기 진공 용기 내를 진공 배기하는 공정과,
    제1 반응 가스 공급부, 제2 반응 가스 공급부 및 레이저 조사부와 상기 테이블을 상대적으로 회전시키는 공정과,
    상기 테이블 상의 기판에 상기 제1 반응 가스 공급부로부터 제1 반응 가스를 공급하는 공정과,
    상기 테이블 상의 기판에 상기 제2 반응 가스 공급부로부터 제2 반응 가스를 공급하는 공정과,
    상기 레이저 조사부로부터, 상기 기판에 있어서의 상기 테이블의 중심측의 단부와 상기 테이블의 외주측의 단부 사이에 걸쳐서 띠 형상으로 레이저광을 조사하는 공정과,
    상기 제1 반응 가스와 상기 제2 반응 가스의 반응 생성물을 개질 가능한 파장을 갖는 레이저광을 방사하는 공정을 포함하는, 성막 방법.
  6. 제5항에 있어서, 상기 반응 생성물을 생성시키는 공정은, 상기 기판을 승온 가능한 파장을 갖는 레이저광을 방사하여, 당해 레이저광의 조사 영역을 국소적으로 승온시키는 공정을 포함하는, 성막 방법.
  7. 삭제
  8. 제5항에 있어서, 상기 제1 반응 가스가 공급되는 제1 처리 영역과 상기 제2 반응 가스가 공급되는 제2 처리 영역의 분위기를 분리하기 위해, 상기 테이블의 상대적 회전 방향에 있어서 이들 처리 영역 사이에 각각 설치된 분리 영역에 대해 분리 가스 공급부로부터 분리 가스를 공급하는 공정을 더 포함하는, 성막 방법.
  9. 진공 용기 내에서 서로 반응하는 적어도 2류의 반응 가스를 순서대로 기판의 표면에 공급하고 또한 이 공급 사이클을 실행함으로써 반응 생성물의 층을 다수 적층하여 박막을 형성하는 성막 장치에 사용되는 컴퓨터 프로그램을 저장한 기억 매체이며,
    상기 컴퓨터 프로그램은 제5항에 기재된 성막 방법을 실시하도록 스텝을 포함하는, 기억 매체.
KR1020100107482A 2009-11-02 2010-11-01 성막 장치, 성막 방법 및 기억 매체 KR101434709B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009252375A JP5434484B2 (ja) 2009-11-02 2009-11-02 成膜装置、成膜方法及び記憶媒体
JPJP-P-2009-252375 2009-11-02

Publications (2)

Publication Number Publication Date
KR20110048466A KR20110048466A (ko) 2011-05-11
KR101434709B1 true KR101434709B1 (ko) 2014-08-26

Family

ID=43925730

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100107482A KR101434709B1 (ko) 2009-11-02 2010-11-01 성막 장치, 성막 방법 및 기억 매체

Country Status (5)

Country Link
US (1) US20110104395A1 (ko)
JP (1) JP5434484B2 (ko)
KR (1) KR101434709B1 (ko)
CN (1) CN102051597B (ko)
TW (1) TWI598462B (ko)

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9138308B2 (en) 2010-02-03 2015-09-22 Apollo Endosurgery, Inc. Mucosal tissue adhesion via textured surface
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5861583B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜装置及び成膜方法
KR101907974B1 (ko) * 2012-09-17 2018-10-16 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6101083B2 (ja) * 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP2015070095A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TW201610215A (zh) * 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI667366B (zh) 2014-09-19 2019-08-01 日商凸版印刷股份有限公司 Film forming device and film forming method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6547271B2 (ja) * 2014-10-14 2019-07-24 凸版印刷株式会社 フレシキブル基板上への気相成長法による成膜方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6672595B2 (ja) 2015-03-17 2020-03-25 凸版印刷株式会社 成膜装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6547650B2 (ja) * 2016-02-05 2019-07-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770428B2 (ja) * 2016-12-28 2020-10-14 株式会社Screenホールディングス 除電装置および除電方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP6981356B2 (ja) * 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP7228990B2 (ja) * 2018-11-07 2023-02-27 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11732360B2 (en) * 2019-02-28 2023-08-22 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming apparatus
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11377736B2 (en) * 2019-03-08 2022-07-05 Seagate Technology Llc Atomic layer deposition systems, methods, and devices
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7446650B1 (ja) 2023-06-05 2024-03-11 株式会社シー・ヴィ・リサーチ 原子層堆積装置及び原子層堆積方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001254181A (ja) 2000-01-06 2001-09-18 Tokyo Electron Ltd 成膜装置および成膜方法
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP2008516428A (ja) 2004-10-04 2008-05-15 アトミシティ システムズ インコーポレイテッド 複数のゾーンを有した原子層堆積装置および複数のゾーンを用いた原子層堆積方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01179410A (ja) * 1988-01-07 1989-07-17 Nikon Corp Cvdによる薄膜の製造方法及びそれに使用される装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
KR0167827B1 (ko) * 1996-02-06 1999-01-15 이종훈 광학창 교체장치가 구비된 광화학기상증착장치 및 광학창 교체방법
JP4416061B2 (ja) * 1996-05-15 2010-02-17 株式会社半導体エネルギー研究所 ドーピング処理方法
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4776054B2 (ja) * 2000-02-04 2011-09-21 株式会社デンソー 原子層成長による薄膜形成方法
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP4063493B2 (ja) * 2000-12-04 2008-03-19 シャープ株式会社 結晶薄膜製造装置、結晶薄膜製造方法および結晶薄膜素子
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008251913A (ja) * 2007-03-30 2008-10-16 Hoya Candeo Optronics株式会社 耐紫外線材料、ならびにこれを用いたシール部材、緩衝部材、遮光部材、光源装置、及び処理装置
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001254181A (ja) 2000-01-06 2001-09-18 Tokyo Electron Ltd 成膜装置および成膜方法
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP2008516428A (ja) 2004-10-04 2008-05-15 アトミシティ システムズ インコーポレイテッド 複数のゾーンを有した原子層堆積装置および複数のゾーンを用いた原子層堆積方法
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor

Also Published As

Publication number Publication date
TW201139725A (en) 2011-11-16
JP5434484B2 (ja) 2014-03-05
JP2011096986A (ja) 2011-05-12
CN102051597B (zh) 2014-07-30
KR20110048466A (ko) 2011-05-11
TWI598462B (zh) 2017-09-11
US20110104395A1 (en) 2011-05-05
CN102051597A (zh) 2011-05-11

Similar Documents

Publication Publication Date Title
KR101434709B1 (ko) 성막 장치, 성막 방법 및 기억 매체
KR101576302B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR101569944B1 (ko) 성막 장치
KR101562396B1 (ko) 성막 장치 및 기판 처리 장치
KR101584817B1 (ko) 성막 장치
TWI523970B (zh) 成膜裝置(一)
KR101324367B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
JP5195174B2 (ja) 成膜装置及び成膜方法
JP5310283B2 (ja) 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP5131240B2 (ja) 成膜装置、成膜方法及び記憶媒体
KR101387289B1 (ko) 성막 장치 및 성막 방법
TWI506159B (zh) 成膜裝置
JP5375853B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5093162B2 (ja) 成膜装置、成膜方法及び記憶媒体
US20100055316A1 (en) Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
JP5823922B2 (ja) 成膜方法
JP5173684B2 (ja) 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
JP5262452B2 (ja) 成膜装置及び基板処理装置
KR101373946B1 (ko) 성막 장치
KR20100028491A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 기억 매체
JP6478847B2 (ja) 基板処理装置
KR101472179B1 (ko) 성막 장치 및 기판 처리 장치
KR20170003408A (ko) 기판 처리 방법 및 기판 처리 장치
KR20150089942A (ko) 기판 처리 방법 및 기판 처리 장치
JP5913079B2 (ja) 成膜方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 5