JP2015111139A - システムオンチップのスキャンパスの部分を分離するための装置及び方法 - Google Patents

システムオンチップのスキャンパスの部分を分離するための装置及び方法 Download PDF

Info

Publication number
JP2015111139A
JP2015111139A JP2015020979A JP2015020979A JP2015111139A JP 2015111139 A JP2015111139 A JP 2015111139A JP 2015020979 A JP2015020979 A JP 2015020979A JP 2015020979 A JP2015020979 A JP 2015020979A JP 2015111139 A JP2015111139 A JP 2015111139A
Authority
JP
Japan
Prior art keywords
hierarchical
level
component
hierarchy
control signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015020979A
Other languages
English (en)
Other versions
JP6587806B2 (ja
Inventor
チャクラボーティ,タパン,ジョーティ
Jyoti Chakraborty Tapan
チェン−ファン チェン
Chen-Huan Chiang
チェン−ファン チェン
ゴヤール,スレシュ
Goyal Suresh
ポートラン,ミシェル
Portolan Michele
トルーレン,ブラッドフォード,ジーン ヴァン
Gene Van Treuren Bradford
トルーレン,ブラッドフォード,ジーン ヴァン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Alcatel Lucent USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alcatel Lucent USA Inc filed Critical Alcatel Lucent USA Inc
Publication of JP2015111139A publication Critical patent/JP2015111139A/ja
Application granted granted Critical
Publication of JP6587806B2 publication Critical patent/JP6587806B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

【課題】システムオンチップのスキャンパスの一部を動的に分離する装置及び方法を提供すること。
【解決手段】装置はスキャンパス及び制御論理を含む。スキャンパスは少なくとも第1の階層レベルを含み、第1の階層レベルは複数のコンポーネントを含み、第2の階層レベルは少なくとも1つのコンポーネントを有する。第2の階層レベルは、第2の階層レベルがアクティブ又は非アクティブであるように、選択及び選択解除されるように適合される。制御論理は、第1の階層レベル内のデータの伝搬とは無関係に第2の階層レベル内のデータの伝搬を制御する方法で第2の階層レベルの少なくとも1つのコンポーネントへの少なくとも1つの制御信号の印加をフィルタリングするように適合される。第2の階層レベルが選択解除されているとき、制御論理は、データが第1の階層レベル内で伝搬される間、第2の階層レベル内でデータが伝搬されないよう防ぐ。
【選択図】図3

Description

本発明は電子工学の分野に関し、さらに詳細には、プリント基板、システムオンチップ、及びシステムのテストに関する。
Joint Test Action Group(JTAG)は、バウンダリスキャンを使用してプリント基板をテストするためのテストアクセスポートのIEEE1149標準を参照する。JTAGはAutomated Test Generation(ATG:自動テスト生成)ツールによって使用され、プリント基板をテストする。基板レベルのJTAGからチップレベルのJTAGへの移行に関連する既存のJTAGの制約を克服するため、Instrument JTAG(IJTAG)が(IEEE P1687標準として)現在標準化されつつある。IJTAGは、Select Instrument Bit(SIB)セルと呼ばれるセルのような、動的階層セルを使用したデータレジスタ内の動的階層パスの包含を提案する。動的階層パスを使用することで、スキャンパスの一部が必要に応じてオン及びオフに切り替えられるようにすることができる。テスト時間の決定においてスキャンパスの要素の数は重要であるため、注意深い階層の使用がテスト時間を短縮するために用いられることがあるので、SIBのようなセルを使用することで可能になる動的階層パスは貴重なテストリソースである。
提案されるIEEE P1687標準で記述されているように、スキャンチェーンは線形スキャンチェーンであり、スキャンチェーンに挿入される各SIBセルは階層をスキャンチェーンに導入するために挿入される。そのように、スキャンチェーンは線形チェーンであり、線形スキャンチェーンに含まれる各SIBセルは、スキャンチェーンの階層をアクティブ化するためにスキャンチェーンを介して線形にアクセスされる必要があるので、スキャンチェーンの長さはアクティブな階層を変更して行使するために必要なアクセス時間の長さを直接的に決定する。この制約は、スキャンチェーンがわずかなセルしか含まないような例において重要ではないと見なされることもあるが、これはスキャンチェーンが数百あるいは数千ものセルを含む場合もある現実のシステムにおいては重大な制約となり得る。この問題の影響はテスト手順の精密なスケジューリングを使用することで軽減され得るが、この問題はテスト手順のスケジューリングを使用することだけでは完全には回避することはできない。
従来技術における様々不備は、システムオンチップのスキャンパスの一部を動的に分離する装置及び関連する方法を通じて対処される。
一実施形態において、装置はスキャンパス及び制御論理を含む。スキャンパスは少なくとも第1の階層レベルを含み、第1の階層レベルは複数のコンポーネントを含み、第2の階層レベルは少なくとも1つのコンポーネントを有する。第2の階層レベルは、第2の階層レベルがアクティブ又は非アクティブであるように、選択及び選択解除されるように適合される。制御論理は、第1の階層レベル内のデータの伝搬とは無関係に第2の階層レベル内のデータの伝搬を制御する方法で、第2の階層レベルの少なくとも1つのコンポーネントへの少なくとも1つの制御信号の印加をフィルタリングするように適合される。一実施形態において、第2の階層レベルが選択解除されているとき、制御論理は、データが第1の階層レベル内で伝搬される間、第2の階層レベル内でデータが伝搬されないよう防ぐ。一実施形態において、データが引き続き第1の階層レベルを通じて伝搬される間、第2の階層レベルは独立した並行のテストに使用されてもよい。
一実施形態において、第1の階層レベル及び第2の階層レベルを備えるスキャンパスを有するシステムオンチップをテストするための方法は、第2の階層レベルをスキャンパスに追加するようにスキャンパスの第2の階層レベルを選択するステップ、入力ビットストリームの少なくとも1つの入力値を第1の階層レベルの少なくとも一部を介して第2の階層レベルに伝搬するステップ、第2の階層レベルをスキャンパスから分離するためにスキャンパスの第2の階層レベルを選択解除するステップ、少なくとも1つの出力値を生成するために少なくとも1つの入力値を使用して第2の階層レベルを介してテストを実行するステップであって、テストは第1の階層レベル経由の追加の入力値の伝搬とは無関係に実行されるステップ、第2の階層レベルをスキャンパスに追加するために、スキャンパスの第2の階層レベルを再選択するステップ、及び第1の階層レベルの少なくとも一部を介して第2の階層レベルから少なくとも1つの出力値を伝搬するステップを含む。
本発明の教示は、添付の図面と併せて以下の詳細な説明を検討することにより容易に理解されるであろう。
テスト環境を示す高レベルブロック図である。 図1のテスト環境において使用するよう適合された例示的なシステムオンチップを示す高レベルブロック図である。 階層使用可能コンポーネントにより制御される2つの階層レベルを含む階層スキャンパスを備える例示的なシステムオンチップを示す高レベルブロック図である。 階層使用可能コンポーネント及びフィルタリング制御論理により制御される2つの階層レベルを含む階層スキャンパスを備える例示的なシステムオンチップを示す高レベルブロック図である。 図4のシステムオンチップにおいて制御信号をフィルタリングするためにフィルタリング制御論理を使用する例を示す図である。 図4のシステムオンチップにおいて制御信号をフィルタリングするためにフィルタリング制御論理を使用する例を示す図である。 図4のシステムオンチップにおいて制御信号をフィルタリングするためにフィルタリング制御論理を使用する例を示す図である。 図4のシステムオンチップにおいて制御信号をフィルタリングするためにフィルタリング制御論理を使用する例を示す図である。 図4のシステムオンチップにおいて制御信号をフィルタリングするためにフィルタリング制御論理を使用する例を示す図である。 テストシステム、ならびに階層スキャンパス及び階層スキャンパスを通じてテストされるように適合された計器コアを含むシステムオンチップを含むテストシステムを示す図である。 テストシステムが、第1の階層レベル及び第2の階層レベルを含むスキャンパスを有するシステムオンチップをテストする例示的な方法を示す図である。 本明細書において説明される機能を実行する際の使用に適した汎用コンピュータを示す高レベルブロック図である。
理解を容易にするため、可能な場合、図面に共通する同じ要素を指定するために同一の参照番号が使用されている。
制御論理を使用してスキャンパスの様々な階層レイヤの分離を可能にする装置及び方法が提供される。第1の階層レベル及び第2の階層レベルを含むスキャンパスを有するシステムオンチップであって、第2の階層レベルは(アクティブなスキャンパスに動的に追加及びアクティブなスキャンパスから動的に除去され得るように第2の階層レベルをアクティブ化/非アクティブ化するために)動的に選択及び選択解除されてもよいスキャンパスにおいて、フィルタリング制御論理は、第1の階層レベル内のデータの伝搬とは無関係に第2の階層レベル内でデータの伝搬を制御する形で制御信号のフィルタリングを可能にする。
フィルタリング制御論理は、(第1の階層レベルのコンポーネントへの制御信号の印加を変更することなく)第2の階層レベルのコンポーネントへの制御信号の印加が変更されるように、スキャンパスのすべてのコンポーネントに通常印加される制御信号をフィルタリングする。このように、第2の階層レベルが選択解除されるとき、ビットストリーム値が引き続き第1の階層レベルを通じて伝搬される間、ビットストリーム値は第2の階層レベル内で伝搬されない。従って、第2の階層レベルが選択解除されている間、第2の階層レベルは、スキャンパスから効果的に分離される(つまり、第2の階層レベル内でデータを全く伝搬しないように完全に非アクティブ化される)。
本明細書において2つの階層レベルを有するスキャンパスに関して主に示され説明されるが、本明細書において説明される様々なデータ伝搬制御機能は、(例えば、ネスティング、並列配置など、及びその様々な組み合わせを使用する)任意の構成で配置され得る任意の数の階層レベルを有するスキャンパスに適用されてもよい。
図1はテスト環境の高レベルブロック図を示す。具体的には、テスト環境100はシステムオンチップ(S−o−C)110及びテストシステム(TS)120を含む。TS120は、S−o−C110をテストする(例えば、(コンポーネントの機能を含む)S−o−C110の個々のコンポーネント、S−o−C110上のデバイス間の相互接続、S−o−C110のシステムレベル機能など、及びその様々な組み合わせをテストする)。TS120は、複数の階層レベルを含むシステムスキャンパスを使用してS−o−C110をテストする。図1に示されるように、TS120は、入力ポート115(TDI)及び出力ポート115(TDO)を含むテストアクセスインターフェイス115を使用してS−o−C110とインターフェイスを取る。
一実施形態において、提案されるIEEE P1687標準に従う環境では、インターフェイス115はIEEE1149.1標準によって定義されたテストアクセスポート(TAP:Test Access Port)として実施されてもよい。1つのそのような実施形態において、TDI/TDOポートを使用して本明細書において主に示され説明されているが、インターフェイス115は、TCKポート、TMSポート、TRSTポートなどの他の制御ポート、及び必要とされ得るその他の新しい制御インターフェイス(明確にするために省略されている)を含むこともできる。IEEE1149.1標準によって定義されたTAPの変更バージョンとして実施されるインターフェイスに関して本明細書において主に示され説明されているが、インターフェイス115は様々な他の方法で実施されてもよい。
TS120はテスト手順を使用してS−o−C110のテストを実行する。TS120は、1つ以上のテスト手順を使用して1つ以上のテストを実行することができる。テスト手順は、コンポーネントの一部(例えば、コンポーネントの機能、コンポーネントの機能のセット、依存性など)、コンポーネント、コンポーネントのグループ(例えば、コンポーネント間の相互接続、コンポーネント間の依存性など)、1つ以上のシステムレベル機能など、及びその様々な組み合わせをテストするために使用されてもよい。テスト手順は、システムオンチップで実行され得る任意の他の種類のテストを実行するために使用されてもよい。
TS120はS−o−C110をテストするためのテスト手順を生成する。テスト手順はS−o−C110をテストするために必要な情報を指定する。S−o−C110のテスト手順は(S−o−C110の個々のコンポーネントの各々の記述、及びS−o−C110のシステムレベルの記述を含む)S−o−C110の記述を指定することができる。テスト手順は、(スキャンパスに印加される)入力テストベクトル、及び(テストスキャンパスから受信されると期待される)期待される出力テストベクトルを指定することができる。テスト手順はまた、(システムオンチップに印加される)1つの制御信号又は複数の制御信号を指定することができる。テスト手順は、テストに関連付けられている任意の他の情報(例えば、テストに必要とされる推定時間、テストの出力データ処理など、及びその様々な組み合わせ)を含むことができる。
TS120は、S−o−C110で1つ以上のテスト手順を実行することにより、S−o−C110をテストする。TS120は、実行される各テストについて、入力テストビットストリーム及び期待されるテスト結果(例えば、期待される出力ビット値又はビットストリーム)を生成する。TSはまた、制御信号も生成する。TS120は、入力テストビットストリーム(つまり、入力テストベクトル)をTDI入力ポート115に印加する。TS120は、対応する出力テストビットストリーム(出力テストベクトルと呼ばれる)をTDO出力ポート115から受信する。TS120は、テストの結果を決定するために、出力テストビットストリームを期待されるテスト結果と比較する。
TS120は任意の方法で実行されたテスト手順のテスト結果を処理することができる。様々な実施形態において、例えば、TS120は、表示インターフェイスを介してテスト結果を提示すること、テスト結果をメモリに格納すること、テスト結果を1つ以上の他のシステムに伝搬することなど、及びその各種の組み合わせを行うことができる。TS120は任意の他の方法で実行されたテスト手順のテスト結果を処理することができる。TS120はまた、同様の方法でテストへの入力の一部又は全部を処理することができる(例えば、提示すること、格納すること、伝搬することなど、及びその様々な組み合わせ)。
TS120はS−o−C110をテストするための1つ以上のテスト手順を実行することができる。TS120は、(様々なスケジューリングの決定が、テスト手順の同一セットについて様々なテスト完了時間をもたらすので)テストを実行するために必要な合計テスト時間の最小化につながる方法で複数のテスト手順の実行を編成することができる。TS120は、テストスケジュール(つまり、様々なテスト手順が準じて実行されるべき順序を指定するスケジュール)を指定することができる。TS120は、システムオンチップのテストに関連付けられている様々なその他の機能を実行することができる。
図2は、図1のテスト環境において使用するよう適合された例示的なシステムオンチップを示す高レベルブロック図である。図1のS−o−C200は図1のS−o−C110の例である。図2に示されるように、S−o−C200は、複数のコンポーネント相互接続220(集合的に、コンポーネント相互接続220)によって相互接続される複数のコンポーネント210〜210(集合的に、コンポーネント210)を含む。図2に関して示され説明されるS−o−C200が、システムオンチップの一例にすぎないことが理解されよう(つまり、S−o−C200は様々な他の方法で構成され得る様々な他のコンポーネントを含むことができる)。
図2に示されるように、各コンポーネント210は複数の内部レジスタを含む。具体的には、コンポーネント210は3つのレジスタ(A、A、A)を含み、コンポーネント210は6つのレジスタ(B、B、B、B、B、B)を含み、コンポーネント210は5つのレジスタ(C、C、C、C、C)を含み、コンポーネント210は3つのレジスタ(D、D、D)を含み、コンポーネント210は4つのレジスタ(E、E、E、E)を含む。各コンポーネント210のレジスタは、そのコンポーネント210の内部スキャンパスを形成する。
図2に示されるように、各コンポーネント210は少なくとも1つの機能をサポートする。具体的には、コンポーネント210は3つの機能をサポートし、コンポーネント210は4つの機能をサポートし、コンポーネント210は3つの機能をサポートし、コンポーネント210は2つの機能をサポートし、コンポーネント210は1つの機能をサポートする。コンポーネント210の各々によってサポートされる機能はそれぞれ、各コンポーネント210のレジスタ(つまり内部スキャンパス)を利用する。
図2に示されるように、S−o−C200のコンポーネント210はS−o−C200のコンポーネント相互接続220を介して接続される。コンポーネント210(つまり、コンポーネント210の内部スキャンパス)及びコンポーネント210間のコンポーネント相互接続220はS−o−C200の入力テストポート(TDI)からS−o−C200の出力テストポート(TDO)へのテストスキャンパスを形成する。
コンポーネント210は、システムオンチップシステムに含まれ得る任意のコンポーネントを含む。一実施形態において、提案されるIEEE P1687標準に従って実施されるシステムでは、コンポーネント210はIPデバイス及び/又は計器を含むことができる。IP/計器が非常に類似することがあるので、本明細書において2つの用語は同義的に使用されてもよい。さらに、IP及び計器は、システムオンチップのコンポーネントとして使用され得るので、本明細書においてIP及び計器は、さらに広くコンポーネントと呼ばれてもよい。その他の実施形態において、その他の標準に従うシステムでは、コンポーネント210は他の種類のコンポーネントを含むことができる。
IPデバイスはテストを必要とするデバイスである。計器は、テストを必要とするだけではなく、テストを補助するように適合された機能を提供する(例えば、値の読み取り、値の監視など、及びその様々な組み合わせ)。例えば、計器は、存続期間−加速テストをパラメータ化するために使用される温度センサーの出力であってもよい。例えば、計器は、ソフトウェア定義の無線の取得段階に調整可能フィルタを較正するために使用されるセンサーの基準値であってもよい。従って、計器は、初期システムテスト中、及びシステムの存続期間全体にわたりテストを補助することができる。
コンポーネント210は1つ以上の階層使用可能コンポーネントを含むことができる。階層使用可能コンポーネントは、(例えば、システムオンチップのテストスキャンパスの追加のコンポーネントの1つ以上の階層レベルを動的にアクティブ化/非アクティブ化することにより)システムオンチップのスキャンパスの動的な変更をサポートするコンポーネントである。一般に、階層はシステムオンチップのコンポーネントのテストを向上させる。例えば、階層により、アクティブなシステムスキャンパスの最小化及びテスト中のコンポーネントの分離が可能になり、それによりシステムオンチップのコンポーネントへのアクセス時間を短縮することができる。階層使用可能コンポーネントの使用は、図3を参照してさらに深く理解することができる。
図3は、階層使用可能コンポーネントにより制御される2つの階層レベルを含む階層スキャンパスを備える例示的なシステムオンチップを示す高レベルブロック図である。具体的には、図3のシステムオンチップ300は、第3のテストコンポーネント310(コンポーネントB0と示される)及び第4のコンポーネント310(コンポーネントB1と示される)へのアクセスを動的に制御する階層使用可能コンポーネント320を介して相互接続される第1のテストコンポーネント310(コンポーネントA0と示される)及び第2のテストコンポーネント310(コンポーネントA1と示される)を含む。第1のテストコンポーネント310及び第2のテストコンポーネント310、ならびに階層使用可能コンポーネント320は、テストスキャンパスの第1の階層レベルを形成する。第3のテストコンポーネント310及び第4のテストコンポーネント310はテストスキャンパスの第2の階層レベルを形成する。
階層使用可能コンポーネント320は、スキャンパスの第2の階層レベルをアクティブ化するように(つまり、第2の階層レベルをアクティブなスキャンパスに追加するように)選択されてもよく、スキャンパスの第2の階層レベルを非アクティブ化するように(つまり、第2の階層レベルをアクティブなスキャンパスから除去するように)選択解除されてもよい。例えば、階層使用可能コンポーネント320が選択解除されるとき、階層使用可能コンポーネント320は、スキャンパスがTDI−>A0−>HC−>A1−>TDOを含むように、パススルーコンポーネントとして動作する。例えば、階層使用可能コンポーネント320が選択されているとき、階層使用可能コンポーネント320は、スキャンパスがTDI、A0、HC、B0、B1、HC、A1、TDOのシーケンスを含むように、スキャンパスの第2の階層レベルをアクティブ化する。階層使用可能コンポーネント320は、システムオンチップのスキャンパスを動的に変更するように適合された任意の方法で実施されてもよい。
階層使用可能コンポーネント320は、スキャンパスの動的な変更をサポートする(つまり、スキャンパスの階層レベルをアクティブ化及び非アクティブ化する)ように適合された任意のコンポーネントであってもよい。一実施形態において、例えば、階層使用可能コンポーネント320は、提案されるIEEE P1687標準で定義されているselect instrument bit(SIB:選択計器ビット)(又は、スキャンパスの第2の階層レベルが選択解除されている間、スキャンパスの第1の階層レベルを通じて伝搬されるビットストリーム値がスキャンパスの第2の階層レベルを通じて伝搬されないよう防ぐために制御信号をフィルタリングする制御論理の実施に応じて、提案されるIEEE P1687標準で定義されたSIBの変更バージョン)を含むことができる。
階層使用可能コンポーネント320は、スキャンパスの動的な変更をサポートするさらに複雑な階層使用可能コンポーネント(本明細書においてクロスロードデバイスと呼ばれる)であってもよい。スキャンパスの第1の階層レベルを通じて伝搬されるビットストリーム値を、スキャンパスの第2の階層レベルを通じて伝搬されないようにフィルタリングするための制御論理の使用を説明する際に明確を期すため、フィルタリング制御論理は、システムオンチップの動的階層がIEEE P1687標準で定義されたSIBセル(又は、制御論理の実施に応じて、SIBの変更バージョン)を使用して提供される実施形態のコンテキストの範囲内で本明細書において主に示され説明される。
図4は、階層使用可能コンポーネント及びフィルタリング制御論理により制御される2つの階層レベルを含む階層スキャンパスを備える例示的なシステムオンチップを示す高レベルブロック図である。システムオンチップ400は、図3のシステムオンチップ300の実施態様を含む。図4に示されるように、非階層使用可能コンポーネント310〜310は、バウンダリスキャンセル410〜410(集合的に、バウンダリスキャンセル410)として実施され、階層使用可能コンポーネント320は、提案されるIEEE P1687標準で定義されているSIBセル420として実施され、さらに、追加の論理(例示的に、フィルタリング制御論理430)は、(第2の階層レベルが選択解除されている間)スキャンパスの第1の階層レベルを通じてビットストリーム値が引き続き伝搬される間、スキャンパスの第2の階層レベルを通じてビットストリーム値が伝搬されないよう防ぐ方法で制御信号をフィルタリングするために提供される。
システムオンチップ400のコンポーネント経由のビットストリームの伝搬を示す際に明確を期すため、複数の入力ポートをサポートする各マルチプレクサは、「0」がマルチプレクサの制御ポートに印加されるときにマルチプレクサのどの入力ポートが選択されるか、及び「1」がマルチプレクサの制御ポートに印加されるときにマルチプレクサのどの入力ポートが選択されるかを区別する方法でマーク付けされている。具体的には、図4において陰影付きのマルチプレクサへの各入力ポートは、「1」がマルチプレクサの制御ポートに印加されるときにマルチプレクサ出力に渡すように選択される入力ポートを示す(そして、陰影のない入力ポートは、「0」がマルチプレクサの制御ポートに印加されるときにマルチプレクサの出力に渡すように選択される入力ポートを示す)。
図4に示されるように、各バウンダリスキャンセル410は、TDI入力からTDO出力へのスキャンパス、及び第2の入力から第2の出力への追加のデータパスをサポートする標準IEEE1149.1バウンダリスキャンセルである。バウンダリスキャンセル410〜410は、それぞれ複数の入力MUX411〜411(集合的に、入力MUX411)、それぞれ複数のキャプチャレジスタ412〜412(集合的に、キャプチャレジスタ412)、それぞれ複数の更新レジスタ413〜413(集合的に、更新レジスタ413)、及びそれぞれ複数の出力MUX414〜414(集合的に、出力MUX414)を含む。
各バウンダリスキャンセル410において、バウンダリスキャンセルのTDI入力からバウンダリスキャンセルのTDO出力へのスキャンパスに沿った信号の伝搬は、入力MUX411、キャプチャレジスタ412、更新レジスタ413、及び出力MUX414を使用して行われる。各バウンダリセル410のスキャンパスはシステムオンチップ400のスキャンパスの一部を形成する。各バウンダリスキャンセル410において、第2の入力から第2の出力への追加のデータパスに沿った信号の伝搬は、第2の入力から出力MUX414への第1のパス、又は入力MUX411、キャプチャレジスタ412、更新レジスタ413、及び出力MUX414を使用する第2のパスのいずれかを使用する。各バウンダリスキャンセル410によってサポートされる追加のデータパスは、その他のテストの目的(例えば、計器のテスト、コンポーネント間の相互接続のテストなど、及びその様々な組み合わせ)に使用されてもよい。
これ以降、各バウンダリスキャンセル410の説明が続く。
各バウンダリスキャンセル410において、入力MUX411は2つの入力ポートを含み、第1の入力ポートはTDI入力に結合され(例えば、バウンダリスキャンセルのキャプチャレジスタの出力からなど、スキャンチェーンの以前のコンポーネントの出力から、SIBセルのTDO出力から、又は任意の他の同様のスキャンパス信号)、第2の入力ポートは追加のデータパスの第2の入力に結合されている(要求/必要に応じて多数の方法で結合されてもよい)。入力MUX411を通過して入力MUX411の出力に至る入力信号の選択は、システムオンチップ400の各コンポーネントに印加される共通Shift DR(ShDR)制御信号によって制御される。各バウンダリスキャンセル410において、ShDR制御信号が「1」のとき、入力MUX411の第1の入力からの入力信号はキャプチャレジスタ412に伝搬され、ShDR制御信号が「0」のとき、入力MUX411の第2の入力からの入力信号はキャプチャレジスタ412に伝搬される。
各バウンダリスキャンセル410において、入力MUX411の出力はキャプチャレジスタ412への入力に結合される(つまり、入力MUX411を通過する各信号はキャプチャレジスタ412に格納される)。キャプチャレジスタ412の出力はそのバウンダリスキャンセル410のTDO出力及び更新レジスタ413への入力の両方に結合される。更新レジスタ413は、システムオンチップ400の各レジスタのクロック入力に印加される共通Update DR(UpDR)制御信号によって制御される。一実施形態において、各バウンダリスキャンセル410で、UpDRに立ち上がりがあるとき、キャプチャレジスタ412の出力からの信号は更新レジスタ413に伝搬され(つまり、キャプチャレジスタ412に以前格納された値は更新レジスタ413に格納される)、UpDR制御信号が「0」のとき、キャプチャレジスタ412の出力からの信号(常にTDO出力に伝搬される)は更新レジスタ413には伝搬されない。更新レジスタ413の出力は出力MUX414の第1の入力に結合される。
各バウンダリスキャンセル410において、出力MUX414は2つの入力ポートを含み、第1の入力ポートは更新レジスタ413の出力に結合され、第2の入力ポートは追加のデータパスの第2の入力に結合されている(要求/必要に応じて多数の方法で結合されてもよい)。出力MUX414を通過して出力MUX414の出力に至る入力信号の選択は、システムオンチップ400の各バウンダリスキャンセル410に印加される共通Mode制御信号によって制御される。各バウンダリスキャンセル410において、Mode制御信号が「0」のとき、出力MUX414の第1の入力ポート(つまり、更新レジスタ413の出力)からの入力信号は、バウンダリスキャンセル410の第2の出力に伝搬され、Mode制御信号が「1」のとき、出力MUX414の第2の入力ポート(つまり、追加のデータパスの第2の入力)からの入力信号は、バウンダリスキャンセル410の第2の出力に伝搬される。
図4に示されるように、SIBセル420は、提案されるIEEE P1687標準で定義されている標準SIBセルである。SIBセル420は、(TDI入力及びTDO出力を介して)スキャンパスの第1の階層レベル、及び(バウンダリスキャンセルB0のTDI入力に結合されるWSIoポート及びバウンダリスキャンセルB1からのTDO出力に結合されるWSOiポートを介して)スキャンパスの第2の階層レベルをサポートする。SIBセル420は、Select Instrument Bit(SIB)レジスタ422、Update SIB(UpSIB)レジスタ424、及び出力MUX426を含む。SIBレジスタ422は関連するSIB入力MUX421を有する。UpSIBレジスタ424は関連するUpSIB入力MUX423を有する。
SIBレジスタ422に関連付けられているSIB入力MUX421はSIBレジスタ422への入力を制御する。SIB入力MUX421は2つの入力ポートを含む。SIB入力MUX421は、(例えば、スキャンパスの以前のコンポーネントからの)TDI入力及びSIBレジスタ422の出力を入力として受け入れる。SIB入力MUX421は、SIB入力MUX421の制御ポートに印加されるShDR制御信号によって制御される。ShDR制御信号が「1」のとき、SIB入力MUX421はTDI入力からの信号をSIBレジスタ422に渡す。ShDR制御信号が「0」のとき、SIB入力MUX421はSIBレジスタ422の出力からの信号をSIBレジスタ422に渡す。
SIBレジスタ422はSIB入力MUX421の出力からの入力を受け入れる。SIBレジスタ422は、SIBレジスタ422のクロックポートに印加されるクロック信号(TCKと示される)によって制御される。SIBレジスタ422の出力は、SIB入力MUX421への入力、UpSIB入力MUX423への入力、出力MUX426への入力、及びWSIoポート(スキャンパスの第2の階層レベルがアクティブ化されるとき、第2の階層レベル内に信号を伝搬するために第2の(又は下位の)階層レベルのコンポーネントへのアクセスを提供する)の各々に結合される。
UpSIBレジスタ424に関連付けられているUpSIB入力MUX423はUpSIBレジスタ424への入力を制御する。UpSIB入力MUX423は2つの入力ポートを含む。UpSIB入力MUX423はSIBレジスタ422からの出力及びUpSIBレジスタ424からの出力を入力として受け入れる。UpSIB入力MUX423は、UpSIB入力MUX423の制御ポートに印加されるUpDR制御信号によって制御される。UpDR制御信号が「1」のとき、UpSIB入力MUX423はSIBレジスタ422の出力からの信号をUpSIBレジスタ424に渡す。R制御信号が「0」のとき、UpSIB入力MUX423はUpSIBレジスタ424の出力からの信号をUpSIBレジスタ424に渡す。
UpSIBレジスタ424はUpSIB入力MUX423の出力からの入力を受け入れる。UpSIBレジスタ424は、UpSIBレジスタ424のクロックポートに印加されるクロック信号(TCKと示される)によって制御される。UpSIBレジスタ424の出力は、UpSIB入力MUX423への入力、出力MUX426の制御ポート、及びSelect_Instr信号パス(スキャンパスから第2の階層レベルのコンポーネントを効果的に分離する方法で第2の(又は下位の)階層レベルのコンポーネントへのShDR及びUpDR制御信号の印加をフィルタリングする際に使用するフィルタリング制御論理430に結合される)の各々に結合される。
出力MUX426は2つの入力ポートを含む。出力MUX426は、SIBレジスタ422からの出力及びWSOiポートを介する下位の階層レベルからの入力(この例において、バウンダリスキャンセルB1のTDO出力である)を入力として受け入れる。出力MUX426の出力は、SIBセル420のTDO出力に結合される(この例においてバウンダリスキャンセルA1であるスキャンパスの第1の階層レベルの後続のコンポーネントへの伝搬のため)。出力MUX426の出力は出力MUX426の制御ポートに印加される制御信号によって決まる。UpSIBレジスタ424の出力は出力MUX416の制御ポートに結合される。
図4に示されるように、UpSIBレジスタ424の値は、第2の階層レベルが選択されるか(つまり、スキャンパスの一部)、又は選択解除されるか(つまり、スキャンパスの一部ではない)を決定する。第2の階層レベルが選択解除されている(つまり、UpSIBレジスタ424が「0」である)とき、出力MUX426はSIBレジスタ422の出力をSIBセル420のTDO出力に渡し、WSOiポートからの値が無視される。第2の階層レベルが選択されている(つまり、UpSIBレジスタ424が「1」である)とき、出力MUX426は下位階層レベルからの(つまりWSOiポートからの)信号をSIBセル420のTDO出力に渡す。
図4に示されるように、バウンダリスキャンセル410の各々及びSIBセル420に印加されるShDR制御信号及びUpDR制御信号に加えて、ShDR制御信号及びUpDR制御信号もまた各々フィルタリング制御論理430に印加される。図4においてさらに示されるように、SIBセル420のUpSIBレジスタ424の出力は、第2の階層レベルのコンポーネント(つまり、バウンダリスキャンセル420及び420)へのShDR制御信号及びUpDR制御信号の印加をフィルタリングするためにフィルタリング制御論理430に結合され、それによりスキャンパスの第2の階層レベルが選択解除されている間(つまり、SIBセル420のUpSIBレジスタ424が「0」に設定されている間)、スキャンパスの第1の階層レイヤを通じて伝搬されている(つまり、A0−>SIB−>A1)ビットストリーム値が、スキャンパスの第2の階層レベルを通じて(つまり、SIB−>B0−>B1−>SIB)伝搬されないよう防ぐ。
フィルタリング制御論理430は、第2の階層レベルのShDR制御信号をフィルタリングするように適合された第1のANDゲート431を含む。第1のANDゲート431は、第1の入力ポート(ShDR制御信号に結合されている)及び第2の入力ポート(階層選択制御信号に結合されている(例えば図4の例でSIBセル420のUpSIBレジスタ424の出力))を含む。図4に示されるように、第1のANDゲート431の出力ポートは、ShDR制御信号が第2の階層レベルの各コンポーネントのそれぞれの入力MUXの制御ポートに直接印加されるのではなく、第2の階層レベルの各コンポーネントのそれぞれの入力MUX411の制御ポート(つまり、それぞれバウンダリスキャンセル410の入力MUX411及びバウンダリスキャンセル410の入力MUX411)に結合される。
例えば、フィルタリング制御論理を含まない既存のシステムにおいて、第1の階層レベルのコンポーネントを通じて(つまり、スキャンパスを通じて)ビットストリームを伝搬するためにShDR制御信号がアクティブ化される必要があったので、及びさらに、ShDR制御信号が(第2の階層レベルのコンポーネントを含む)スキャンパスのすべてのコンポーネントに印加されたので、(たとえ第2の階層レベルが、関連するSIBセルのUpSIBレジスタを使用して選択解除されても)システムオンチップの第2の階層レベル経由でもビットストリームを伝搬することなくして、システムオンチップの第1の階層レベル経由でビットストリームを伝搬することはできなかった。
しかし、図4のシステムオンチップ400において、第2の階層レベルが選択解除されているとき、UpSIBレジスタ424の値が「0」であるので、第1のANDゲート431は、第2の階層レベルが選択解除されている限り(つまり、UpSIBレジスタ424の値が「0」である限り)、第1のANDゲート431の出力は「0」となり、従って、第2の階層レベルのコンポーネントのそれぞれの入力MUX411の制御ポートに印加される制御信号の値は「0」となり、それによりビットストリームが第1の階層レベルのコンポーネントを通じて伝搬されているときに第2の階層レベルのコンポーネント経由(つまり、バウンダリスキャンセル410及び410経由)のビットストリームの伝搬を防ぐことを確実にする。
図4に示されるように、第2の階層レベルが選択解除されているとき、第1のANDゲート431は、バウンダリスキャンセル410のTDI入力からバウンダリスキャンセル410のキャプチャレジスタ412へのデータの伝搬を防ぎ、同様に、バウンダリスキャンセル410のTDI入力からバウンダリスキャンセル410のキャプチャレジスタ412へのデータの伝搬を防ぐ。言い換えれば、第2の階層レベルのコンポーネント(つまり、バウンダリスキャンセル410及び410)のそれぞれのキャプチャレジスタに格納されたデータ値は、ビットストリームが引き続き第1の階層レベルを通じて伝搬されても変化しない。このことは、図5の例を参照してさらに深く理解することができる。
フィルタリング制御論理430は、第2の階層レベルのUpDR制御信号をフィルタリングするように適合された第2のANDゲート432を含む。第2のANDゲート432は、第1の入力ポート(UpDR制御信号に結合されている)及び第2の入力ポート(階層選択制御信号に結合されている(例えば図4の例でSIBセル420のUpSIBレジスタ424の出力))を含む。図4に示されるように、第2のANDゲート432の出力ポートは、UpDR制御信号が第2の階層レベルの各コンポーネントのそれぞれの更新レジスタの制御ポートに直接印加されるのではなく、第2の階層レベルの各コンポーネントのそれぞれの更新レジスタ413の制御ポート(つまり、それぞれバウンダリスキャンセル410の更新レジスタ413及びバウンダリスキャンセル410の更新レジスタ413)に結合される。
例えば、フィルタリング制御論理を含まない既存のシステムにおいて、第1の階層レベルのコンポーネントを通じて(つまり、第2の出力を介して出力される追加のデータパスを通じて)ビットストリームを伝搬するためにUpDR制御信号がアクティブ化される必要があったので、及びさらに、UpDR制御信号が(第2の階層レベルのコンポーネントを含む)スキャンパスのすべてのコンポーネントに印加されたので、(たとえ第2の階層レベルが、関連するSIBセルのUpSIBレジスタを使用して選択解除されても)システムオンチップ400の第2の階層レベル経由でもビットストリームを伝搬することなくして、システムオンチップの第1の階層レベル経由でビットストリームを伝搬することはできなかった。
しかし、図4のシステムオンチップ400において、第2の階層レベルが選択解除されるとき、UpSIBレジスタ424の値が「0」であるので、第2のANDゲート432は、第2の階層レベルが選択解除されている限り(例えば、UpSIBレジスタ424の値が「0」である限り)、第2のANDゲート432の出力は「0」となり、従って、第2の階層レベルのコンポーネントのそれぞれの更新レジスタ413の制御ポートに印加される制御信号の値は「0」となり、それによりビットストリームが第1の階層レベルのコンポーネント経由で伝搬されているときに第2の階層レベルのコンポーネント経由(つまり、バウンダリスキャンセル410及び410経由)のビットストリームの伝搬を防ぐことを確実にする。
図4に示されるように、第2の階層レベルが選択解除されているとき、第2のANDゲート432は、キャプチャレジスタ412からバウンダリスキャンセル410の更新レジスタ413へのデータの伝搬を防ぎ、同様に、キャプチャレジスタ412からバウンダリスキャンセル410の更新レジスタ413へのデータの伝搬を防ぐ。言い換えると、第2の階層レベルのコンポーネント(つまり、バウンダリスキャンセル410及び410)のそれぞれの更新レジスタに格納されたデータ値は、ビットストリームが引き続き第1の階層レベルを通じて伝搬されても変化しない。このことは、図5の例を参照してさらに深く理解することができる。
従って、階層選択制御信号は、第2の階層レベルの非階層使用可能コンポーネント410及び410に印加されるUpDR及びShDR制御信号をフィルタリングし、それにより、第1の階層レベルのコンポーネントを通じて伝搬される(A0−>SIB−>A1)ビットストリームが、第2の階層レベルの非階層使用可能コンポーネントを通じて伝搬されないようにする(つまり、第2の階層レベルをアクティブなスキャンパスから除去するために第2の階層レベルがSIBセル420によって動的に選択解除される前に、バウンダリスキャンセルB0及びB1のレジスタがバウンダリスキャンセルB0及びB1にロードされたそれぞれの値を保持する)。
第2の階層レベルをスキャンパスから分離する方法でスキャンパスの第2の階層レベルのコンポーネントに通常印加される制御信号のフィルタリングは多数の利点をもたらす。
第1に、本明細書において示され説明される制御信号フィルタリングは、第2の階層レベルが選択解除されているとき、第2の階層レベルのコンポーネントが信号を渡して格納することはないので(第2の階層レベルの選択解除はビットが第2の階層レベルを通じて伝搬されることを妨げなかった既存のシステムとは対照的に)電力消費量節減をもたらす。
第2に、本明細書において示され説明される制御信号フィルタリングにより、(この方法以外では使用することができないような)独立した並列テストへの第2の階層レベルの使用が可能になる。言い換えれば、制御信号フィルタリングは、第1の階層レベルから第2の階層レベルへのビットストリームの伝搬を防ぐので、第1の階層レベル及び第2の階層レベルは、異なるテストが異なる階層レベルにおいて並行して実行され得るように、効果的に分離される。
本明細書において示され説明される制御信号フィルタリングのこれらの利点及びその他の利点は、(図4に関して示され説明されるシステムオンチップ400の動作の例を提示する)図5A〜図5Eの例、及び(第2の階層レベルを介して並行テストを可能にする制御フィルタリング論理の例示的な使用を提示する)図6の実施形態を参照すればより深く理解されよう。
図5A〜図5Eは、図4のシステムオンチップにおいて制御信号をフィルタリングするためにフィルタリング制御論理を使用する例を示す。
図5Aはシステムオンチップ400の初期状態を示す。この例において、(1)バウンダリスキャンセルA0及びA1のキャプチャレジスタ及び更新レジスタは各々「1」に設定され、(2)SIBセル420のSIBレジスタ422及びUpSIBレジスタ424は各々「0」に設定される(従って、スキャンパスの第2の階層レベルは選択解除されている)と仮定する。さらに、UpSIBレジスタ424を「0」に設定することにより第2の階層レベルが選択解除される前に、値は第2の階層レベルのバウンダリスキャンセルB0及びB1に伝搬された(例示的に、バウンダリスキャンセルB0及びB1のキャプチャレジスタ及び更新レジスタが「1」に設定された)と仮定する。
図5Aに示されるように、ShDRは「1」に設定され、UpDRは「0」に設定される。この例において、「000」の入力ビットストリームはシステムオンチップ400のTDI入力に印加され、関連する出力ビットストリームはシステムオンチップ400のTDO出力から回復される。
図5Bは第1のクロックサイクル後のシステムオンチップ400の状態を示す(ShDR=「1」及びUpDR=「0」)。
図5Bに示されるように、入力ビットストリームの第1のビット(つまり、「0」)は、バウンダリスキャンセルA0のTDI入力からバウンダリスキャンセルA0のキャプチャレジスタ411に伝搬されており、バウンダリスキャンセルA0のキャプチャレジスタ412に格納された値(「1」)はSIBセル420のTDI入力に伝搬されて、SIBセル420のSIBレジスタ422に格納されており、SIBセル420のSIBレジスタ422に格納された値(「0」)は、SIBセル420のTDO出力及びバウンダリスキャンセルA1の入力MUX411を介してバウンダリスキャンセルA1のキャプチャレジスタ412に伝搬されており、バウンダリスキャンセルA1のキャプチャレジスタ412に格納された値(「1」)はバウンダリスキャンセルA1のTDO出力(システムオンチップ400のTDO出力でもある)に伝搬されている。このようにして、出力ビットストリームの第1のビットは「1」である。
図5Bにおいてさらに示されるように、UpDRが「0」に設定されるので、それぞれのバウンダリスキャンセルA0及びA1の更新レジスタ413及び413の値(つまり、「1」)は変化せず、SIBセル420のUpSIBレジスタ424の値(「0」)は変化しない(従って、第2の階層レベルは選択解除されたままである)。
図5Bに示されるように、たとえShDRが「1」であっても、フィルタリング制御論理430の第1のANDゲート431もまた、UpSIBレジスタ424の値(つまり、「0」)を入力として取り、従って第1のANDゲート431の出力は「0」である。第1のANDゲート431の出力は、第2の階層レベルのそれぞれのバウンダリスキャンセルB0及びB1の入力MUX411及び411に制御信号として印加され、それにより、SIBセル420のWSIoポートの値がバウンダリスキャンセルB0のキャプチャレジスタ412に伝搬されることを防ぎ、バウンダリスキャンセルB0のキャプチャレジスタ412からの値がバウンダリスキャンセルB1のキャプチャレジスタ412に伝搬されることを防ぎ、バウンダリスキャンセルB1のキャプチャレジスタ412からの値がSIBセル420のWSOiポート及びTDO出力を介してバウンダリスキャンセルA0に伝搬されることを防ぐ(つまり、それにより、第2の階層レベルが選択解除されている間、データ値の第1の階層レベルから第2の階層レベルへの伝搬を防ぐ)。
同様に、図5Bに示されるように、UpDRが「0」に設定されていることに関わりなく、第2のANDゲート432もまた、UpSIBレジスタ424の値(つまり、「0」)を入力として取り、従って第2のANDゲート432の出力は「0」である。第2のANDゲート431の出力は、第2の階層レベルのそれぞれのバウンダリスキャンセルB0及びB1の更新レジスタ413及び413に制御信号として印加され、それにより、キャプチャレジスタ412及び412に格納された値がそれぞれバウンダリスキャンセルB0の更新レジスタ413及びバウンダリスキャンセルB1の更新レジスタ413に伝搬されることを防ぐ(つまり、それにより第2の階層レベルが選択解除されている間、第2の階層レベルのセル410及び410内のデータの伝搬を防ぐ)。
図5C及び図5Dは、それぞれ第2及び第3のクロックサイクル後のシステムオンチップ400の状態を示す(ShDR=「1」及びUpDR=「0」)。図5C及び図5Dにおいて、第2の各コンポーネント内に格納された値が変化しない間、入力ビットストリームは、スキャンパスの第1の階層レベルのコンポーネントを通じて引き続き伝搬される。図5C及び図5Dにおいて、第1の階層レベルのコンポーネントを経由する信号の伝搬は、図5Bに関して示され説明される方法と同様の方法で進行する(従って、詳細な説明は省略する)。明確にするため、各クロックサイクルにおけるシステムオンチップ400のスキャンパスのコンポーネントに関連付けられている値を表1に要約する。
Figure 2015111139
このように、図5A〜図5D、及び上記に示す関連する表1から、システムオンチップ400のフィルタリング制御論理430は、第2の階層レベルがSIBセル420によって選択解除されている間、第2の階層レベルの各コンポーネント内(つまり、バウンダリスキャンセルB0及びB1のレジスタ内)に格納された値は、入力ビットストリームが第1の階層レベルを通じて(つまり、バウンダリスキャンセルA0、SIBセル420、及びバウンダリスキャンセルA1を通じて)引き続き伝搬されて対応する出力ビットストリームが生成されるので、そのまま変化しないことを確実にするよう適合される。
図5Eに示されるように、入力ビットストリーム(「000」)の各ビットが(テストシステムからのTDI入力を介して)システムオンチップ400に印加され、対応する出力ビットストリーム(「101」)の各ビットが(テストシステムへのTDO出力を介して)システムオンチップ400から取り出された後、ShDRは「1」から「0」に切り替えられ、UpDRは「0」から「1」に切り替えられる。それにより、バウンダリスキャンセルA0のキャプチャレジスタ412の値はバウンダリスキャンセルA0の更新レジスタ413に格納され、バウンダリスキャンセルA1のキャプチャレジスタ412の値はバウンダリスキャンセルA1の更新レジスタ413に格納されるようになる。
図5Eに示されるように、ShDRが「0」であることに関わりなく、第1のANDゲート431もまた、UpSIBレジスタ424の値(つまり、「0」)を入力として取り、従って第1のANDゲート431の出力は「0」である。第1のANDゲート431の出力は、第2の階層レベルのそれぞれのバウンダリスキャンセルB0及びB1の入力MUX411及び411に制御信号として印加され、それにより、SIBセル420のWSIoポートの値がバウンダリスキャンセルB0のキャプチャレジスタ412に伝搬されることを防ぎ、バウンダリスキャンセルB0のキャプチャレジスタ412からの値がバウンダリスキャンセルB1のキャプチャレジスタ412に伝搬されることを防ぎ、バウンダリスキャンセルB1のキャプチャレジスタ412からの値がSIBセル420のWSOiポート及びTDO出力を介してバウンダリスキャンセルA0に伝搬されることを防ぐ(つまり、それにより、データが第1の階層レベルを通じて引き続き伝搬される間、第2の階層レベル内のデータの伝搬を防ぐ)。
同様に、図5Eに示されるように、たとえUpDRが「1」であっても、第2のANDゲート432もまた、UpSIBレジスタ424の値(つまり、「0」)を入力として取り、従って第2のANDゲート432の出力は「0」である。第2のANDゲート431の出力は、第2の階層レベルのそれぞれのバウンダリスキャンセルB0及びB1の更新レジスタ413及び413に制御信号として印加され、それにより、バウンダリスキャンセルB0及びB1のキャプチャレジスタ412及び412に格納された値がそれぞれバウンダリスキャンセルB0の更新レジスタ413及びバウンダリスキャンセルB1の更新レジスタ413に伝搬されることを防ぐ(つまり、それにより第1の階層レベルを通じてデータが引き続き伝搬される間、第2の階層レベルのセル410及び410内のデータの伝搬を防ぐ)。
図6は、テストシステム、ならびに階層スキャンパス及び階層スキャンパスを通じてテストされるように適合された計器コアを含むシステムオンチップを含むテスト環境を示す。図6に示されるように、テストシステム600はシステムオンチップ610及びテストシステム620を含む。システムオンチップ610はシステムオンチップ611及び計器コア612を含む。システムオンチップ611は、(計器コア612への追加のインターフェイスを含む)図4に関して示され説明されるシステムオンチップ400と概ね類似している。計器コア612は、IJTAGを使用してスキャンパスを介してテストされるように適合された任意の種類の計器を含むことができる。
本明細書において説明されるように、フィルタリング制御論理430は、計器コア612のテストがシステムオンチップ611の第1の階層レベルを介して実行されているその他のテストと並行して行われるようにすることができる。
テストシステム620は、システムオンチップ611の第2の階層レベルがシステムオンチップ611のスキャンパス内でアクティブであるように、SIBセル420を選択する。本明細書において説明されるように、第2の階層レベルが選択されると、(フィルタリング制御論理430はShDR及びUpDR制御信号をフィルタリングしないので)値は第2の階層レベルのバウンダリスキャンセルB0及びB1に読み込まれてもよい。テストシステム620は、入力ビットストリームをシステムオンチップ611のTDI入力に印加して、入力ビットストリームがスキャンパスA0−>SIBセル−>B0−>B1−>SIBセル−>A1に沿って伝搬されるようにする。それにより、望ましい値が第2の階層レベルのバウンダリスキャンセルB0及びB1のキャプチャレジスタ及び更新レジスタにロードされるようになる。
テストシステム620は、望ましい値を第2の階層レベルのバウンダリスキャンセルB0及びB1にロードした後、第2の階層レベルのバウンダリスキャンセルB0及びB1の値を変更することなく、入力ビットストリーム値が第1の階層レベルを通じて(A0−>SIBセル−>A1)引き続き伝搬され得るように、SIBセル420を選択解除する(つまり、フィルタリング制御論理430が、本明細書において示され説明されるように、ShDR及びUpDR制御信号をフィルタリングして、第2の階層レベルをシステムオンチップ611のスキャンパスから効果的に分離することにより、入力ビットストリームの第2の階層レベルへの伝搬を防ぐ)。
図6に示されるように、バウンダリスキャンセルB0にロードされる値は、計器コア612で1つ以上のテストを開始するために(例示的に、バウンダリスキャンセルB0から計器コア612に印加されるTEST START信号615として)、計器コア612に印加される。
このようにして、テストシステム620がシステムオンチップ610で他のテスト(例えば、接続のテスト、その他のIP/計器のテストなど、及びその様々な組み合わせ)を実行するために入力ビットストリームを第1の階層レベルに引き続き印加する間、計器コア612はテストされている。従って、第2の階層レベルを第1の階層レベルから分離するためにフィルタリング制御論理430を使用することにより、システムオンチップ610で並行のテストを実行できるようになり、その結果、テストシステム620がシステムオンチップ610をテストするために必要とされる時間を短縮することができる。
図6に示されるように、計器コア612のテストの完了に続いて、計器コア612は、テストシステム620に伝搬して戻すため、テスト結果をシステムオンチップ611に供給する。図6に示されるように、計器コア612は、テスト結果を(例示的に、TEST RESULT信号616として)バウンダリスキャンセルB1に供給するが、これはその後テスト結果をテストシステム620に伝搬することができる。テスト結果を第2の階層レベルのバウンダリスキャンセルB0からテストシステム620に伝搬するため、第2の階層レベルは、それがシステムオンチップ611のスキャンチェーンに再度追加されるように再選択される必要がある。テストシステム620はSIBセル420を再選択し、それにより第2の階層レベルをシステムオンチップ611のスキャンパスに再び追加する。次いで、テストシステム620は、バウンダリスキャンセルB1からテストシステム620へのスキャンパスに沿って(つまり、パスB1−>SIBセル−>A1−>TDOに沿って)テスト結果が伝搬されるように適合された信号を印加する。
テストシステム620が計器コア612からテストシステム620へのテスト結果の伝搬を制御するために、テストシステム620は、システムオンチップ611のスキャンパスに含めるように第2の階層レベルをいつ再選択すべきかを決定できる必要がある。これは多くの方法で実行することができる。
一実施形態において、テストシステム620は、計器コア612のテストを実行するために必要とされる時間の長さ(例えば、必要なクロックサイクルの数)を先験的に認識している。この実施形態において、テストシステム620は単に、テスト結果が計器コア612からいつ入手できるかをテストシステム620が正確に認識するように、計器コア612がテストされている間の時間の長さを(例えば、クロックサイクルをカウントすることにより)追跡することができる。この実施形態において、計器コア612のテストが完了したと決定すると、テストシステム620は、第2の階層レベルを再選択するように適合されるシグナリングを開始し、それにより計器コア612からのテスト結果がテストシステム620へのスキャンパスに沿って(つまり、パスB1−>SIBセル−>A1−>TDOに沿って)伝搬されるようにすることができる。
一実施形態において、テストシステムは、計器コア612のテストを実行するために必要とされる時間の長さを認識していない。この実施形態において、テストシステム620は、テストが完了した(つまり、テスト結果が計器コア612から入手できる)ことを指示する計器コア612からの信号がないかチェックすることができる。一実施形態において、テスト完了制御信号(例示的に、計器コア612からバウンダリスキャンセルA1に供給されるオプションのTEST END信号617)は、テストシステム620への伝搬のために、計器コア612から第1の階層レベルのコンポーネントの1つに供給されてもよい。テスト完了制御信号は、計器コア612のテストが完了し、テスト結果が使用可能であるという指示を搬送する方法で設定された1つ以上のビットを含むことができる。このことは、以下の例を参照してさらに深く理解することができる。
一実施形態において、例えば、システムオンチップ610のスキャンパスは、TDI入力に接続されたセルの第1のセグメント(S1)(15のセルを有する)、TDO出力に接続されたセルの第2のセグメント(S2)(5つのセルを有する)、S1及びS2の間に配置されたSIBセル、及びSIBセルの階層の派生内で計器コア612に接続されたセルの第3のセグメント(S3)(80のセルを有する)から成る。この例において、S1−SIB−S2は第1の階層レベルを形成し、S3はSIBセルによって制御される第2の階層レベルを形成する。この例において、S2の第1のセルはTEST END信号617を受信して格納すると仮定する。
この例において、テストシステム620によって実行され得る1つの操作は、(1)SIBセルを「1」に設定することによりS3を選択すること、(2)第1及び第2の階層レベルが共にアクティブであるスキャンパスにアクセスすることにより値をS1−S2−S3にロードすること(スキャンパスは現在101の要素(15+1+80+5)から成る)、(3)動作を開始する値をS3にロードすること、(4)SIBセルを「0」に設定することによりS3を選択解除すること、(5)S3が第1の階層レベルのテストとは無関係にその動作を完了している間に、現在S1−SIB−S2(21の要素を有する)から成るスキャンパスにアクセスしてテストを続行すること、(6)計器コア612がその動作を終了したとき計器コア612はS2の第1のビットの値を「1」に設定するので、S2の第1のビットの値を監視すること(つまり、第2の階層レベルが選択解除されているので現在のスキャンパスのビット17)、及び(7)S3を再選択すること(SIB=1)、及び(8)(現在101の要素を含む)スキャンパスから結果を収集することを含むことができる。
このようにして、テストシステム620は、第1の階層レベルにおいて引き続き他のテストを実行する間、第2の階層レベルを介して並行のテストを実行することができる。1つのそのような実施形態による方法は、図7を参照して、本明細書において示され説明される。
図7は一実施形態による例示的な方法を示す。具体的には、図7の方法700は、テストシステムが第1の階層レベル及び第2の階層レベルを含むスキャンパスを有するシステムオンチップをテストする方法を含む。連続的に実行されるものとして示され説明されているが、図7の方法700のステップの少なくとも一部は、同時に実行されるか、又は図7に関して示され説明される順序とは異なる順序で実行されてもよい。方法700はステップ702で開始し、ステップ704に進む。
ステップ704において、システムオンチップの第2の階層レベルは、第2の階層レベルをシステムオンチップのスキャンパスに追加するように選択される。ステップ706において、入力値はテストシステムから第2の階層レベルに伝搬される。入力値は第1の階層レベルの少なくとも一部を介してテストシステムから第2の階層レベルに伝搬される。ステップ708において、システムオンチップの第2の階層レベルは選択解除され、それにより第2の階層レベルをシステムオンチップのスキャンパスから分離する。
ステップ710において、第1の階層レベルを介してテストが引き続き実行される間(つまり、他の値が第2の階層レベルを通じて伝搬されることなく、第1の階層レベルを通じて引き続き伝搬されるときに)、テストは第2の階層レベルを介して実行される。第2の階層レベルを介して実行されるテストは、入力値を使用して実行される。第2の階層レベルを介して実行されるテストは出力値を生成する。テストは、任意のテスト(例えば、接続、計器などのテスト、及びその様々な組み合わせ)を含むことができる。
ステップ712において、システムオンチップの第2の階層レベルは、第2の階層レベルをシステムオンチップのスキャンパスに追加するように選択される。ステップ714において、出力値は第2の階層レベルからテストシステムに伝搬される。出力値は、第1の階層レベルの少なくとも一部(入力値を第2の階層レベルに伝搬するために使用された第1の階層レベルの一部とは異なる部分を含むことがある)を介して第2の階層レベルからテストシステムに伝搬される。
ステップ716において、方法700は終了する。本明細書において(明確にするために)終了として示され説明されているが、方法700は、システムオンチップをテストする目的で要求/必要に応じて引き続き実行されてもよい。方法700は、第2の階層レベルに対して、及びシステムオンチップによってサポートされ得るその他の階層レベルについて引き続き実行されてもよい。
スキャンパスの階層レベルの選択/選択解除を制御するために特定の階層使用可能コンポーネント(つまり、提案されるIEEE P1687標準で定義されているSIBセル)を使用することに関して主として示され説明されているが、任意の階層使用可能コンポーネントが、スキャンパスの階層レベルの選択/選択解除を制御するために使用されてもよく、従って、本明細書において示され説明されているフィルタリング制御論理は、ビットストリーム値がスキャンパスの第1の階層レベルを通じて引き続き伝搬される間、(第2の階層レベルが選択解除されているとき)スキャンパスの第2の階層レベル経由のビットストリーム値の伝搬を防ぐように適合された任意の方法で実施されてもよい。
ShDR及びUpDR制御信号をフィルタリングするために1つの階層選択制御信号(例示的に、SIBセルのUpSIBレジスタの値)を使用することに関して本明細書において示され説明されているが、その他の実施形態において、データがスキャンパスの第1の階層レベルで引き続き伝搬される間、スキャンパスの第2の階層レベルのデータの伝搬を防ぐ方法でShDR及びUpDR制御信号をフィルタリングするために、複数の階層選択制御信号が使用されてもよい。そのような実施形態において、複数の階層選択制御信号は、(スキャンパスの第2の階層レベルを選択/選択解除するために使用される階層使用可能コンポーネントの設計/動作に依存することもある)任意の方法で使用されてもよい。そのような実施形態において、複数の階層選択制御信号の使用には、様々なフィルタリング制御論理を使用することが必要になる場合もある。
本明細書において示され説明されている制御信号フィルタリング機能を実施するために特定の制御論理を使用することに関して主として本明細書において示され説明されているが、任意の制御論理が本明細書において示され説明されている制御信号フィルタリング機能を実施するために使用されてもよい。フィルタリング制御論理は、第2の階層レベルを関連する第1の階層レベルから分離する方法で第2の階層レベルに印加されないように制御信号をフィルタリングするよう適合された任意の制御論理を含むことができる。言い換えれば、フィルタリング制御論理は、第2の階層レベルをシステムオンチップのスキャンパスから分離するように(つまり、第2の階層レベルが選択解除されている間、第2の階層レベル内でビットストリームが伝搬しないように)適合された任意の制御論理を含むことができる。
コンポーネントの特定の組み合わせを含むスキャンパスに関して主として本明細書において示され説明されているが、本明細書において示され説明されている制御信号フィルタリング機能は、様々なその他のコンポーネントの組み合わせを含むスキャンパスに対して実施されてもよい。2つの階層レベル(つまり、第1の階層レベル及び第2の階層レベル)に関して主として本明細書において示され説明されているが、本明細書において示され説明されている制御信号フィルタリング機能は、(例えば、任意の構成で配置されたNレベルなど)階層レベルの任意の数及び/又は組み合わせを有するシステムオンチップに対して実施されてもよい。
一実施形態において、例えば、システムオンチップ装置は、(1)Nの階層レベルを備えるテストスキャンパスであって、第1の階層レベルは1次レベルを備え、Nの階層レベルのうちのN−1の階層レベルは各々動的に選択及び選択解除されるように適合され、(n)番目の階層レイヤの選択及び選択解除は(n−1)番目の階層レイヤによって制御される、及び(2)データが選択された各階層レイヤ内で伝搬される間、選択解除された各階層レイヤ内のデータの伝搬を防ぐ方法で、選択解除された各階層レベルへの少なくとも1つの制御の印加をフィルタリングするよう適合された制御論理を含む。
図8は、本明細書において説明される機能を実行する際の使用に適した汎用コンピュータを示す高レベルブロック図である。図8に示されるように、システム800は、プロセッサ要素802(例えばCPU)、例えばランダムアクセスメモリ(RAM)及び/又は読み取り専用メモリ(ROM)などのメモリ804、テスト制御モジュール805、及び様々な入出力装置806(例えば、テープドライブ、フロッピードライブ、ハードディスクドライブ又はコンパクトディスクドライブを含む(ただしこれらに限定されることはない)記憶装置、受信機、送信機、スピーカ、ディスプレイ、出力ポート、及びユーザー入力装置(キーボード、キーパッド、マウスなど))を備える。
本発明が、例えば特殊用途向け集積回路(ASIC)、汎用コンピュータ、又は任意の他のハードウェア等価物を使用して、ソフトウェアにおいて、及び/又はソフトウェアとハードウェアとの組み合わせで実施できることに留意されたい。一実施形態において、本明細書のテスト制御プロセス805は、上記で説明された機能を実施するために、メモリ804にロードされ、プロセッサ802によって実行することができる。そのようなものとして、本発明のテスト制御プロセス805(関連するデータ構造を含む)は、例えばRAMメモリ、磁気又は光ドライブ又はディスケットなどの、コンピュータ可読媒体又はキャリアに格納することができる。
本明細書の教示を組み込む様々な実施形態が本明細書において詳細に示され説明されたが、当業者は、さらにそれらの教示を組み込む多くのその他の様々な実施形態を容易に考案することができる。

Claims (21)

  1. システムオンチップ装置であって、
    複数のコンポーネントを有する第1のレイヤおよび少なくとも1つのコンポーネントを有する第2のレイヤを備えるスキャンパスであって、前記第2のレイヤが動的に選択及び選択解除されるように適合されるスキャンパスを含み、
    前記第1のレイヤ内のデータの伝搬は少なくとも1つの制御信号を使用して制御され、
    前記第2のレイヤ内のデータの伝搬は少なくとも1つの制御信号及び前記第1のレイヤからのレイヤ選択制御信号を使用して制御され、
    前記レイヤ選択制御信号は前記第1のレイヤ内のデータの伝搬から独立して前記第2のレイヤ内のデータの伝搬を制御するように適合される、装置。
  2. 前記第1のレイヤのコンポーネントのそれぞれが前記第2のレイヤを選択及び選択解除するために適合される階層使用可能コンポーネントを含み、前記第2のレイヤの少なくとも1つのコンポーネントが少なくとも1つの非階層使用可能コンポーネントを含む、請求項1に記載の装置。
  3. 少なくとも1つの階層使用可能コンポーネントのそれぞれが、前記第2のレイヤを選択及び選択解除するレイヤ選択制御信号を生成するように適合される、請求項2に記載の装置。
  4. 前記少なくとも1つの制御信号の印加をフィルタリングする前記階層使用可能コンポーネントから前記第2のレイヤの前記少なくとも1つの非階層使用可能コンポーネントへのレイヤ選択制御信号を使用するように適合される制御論理をさらに含む、請求項3に記載の装置。
  5. 前記レイヤ選択制御信号が第1の制御信号および第2の制御信号を含む、請求項4に記載の装置。
  6. 前記第2のレイヤの前記少なくとも1つの非階層使用可能コンポーネントが第1のレジスタ及び第2のレジスタを含む、請求項5に記載の装置。
  7. 前記制御論理が、前記少なくとも1つの非階層使用可能コンポーネントの前記第1のレジスタを制御するための第1の部分と、前記少なくとも1つの非階層使用可能コンポーネントの前記第2のレジスタを制御するための第2の部分とを含む請求項6に記載の装置。
  8. 前記制御論理の前記第1の部分が第1のANDゲートを含み、前記第1のANDゲートへの第1の入力が前記第1の制御信号であり、前記第1のANDゲートへの第2の入力が階層選択制御信号である、請求項7に記載の装置。
  9. 前記第1のANDゲートの出力が、前記第1のレジスタ内のデータをシフトする制御をするように適合される第1のシフト制御信号として、前記第2のレイヤの前記少なくとも1つの非階層使用可能コンポーネントに結合される、請求項8に記載の装置。
  10. 前記制御論理の前記第2の部分が第2のANDゲートを含み、前記第2のANDゲートへの第1の入力が前記第2の制御信号であり、前記第2のANDゲートへの第2の入力が階層選択制御信号である、請求項9に記載の装置。
  11. 前記第2のANDゲートの出力が、前記第2のレジスタ内のデータをシフトする制御をするように適合される第2のシフト制御信号として、前記第2のレイヤの前記少なくとも1つの非階層使用可能コンポーネントに結合される、請求項10に記載の装置。
  12. システムオンチップ装置であって、
    前記システムオンチップの1つ又は複数の入力および出力(I/O)ポートに通信可能に接続される複数のセグメントを含むスキャンパスであって、派生使用可能セルは1つまたは複数のセグメントに結合され、前記派生使用可能セルが前記複数のセグメントの内の2つのセグメントと第3のセグメントとの間に配置されて多重の派生を形成するスキャンパスと、
    スキャンパス全体の構成を制御するシングル・スキャン動作を有する1つまたは複数のコアへのアクセスを可能にする制御論理であって、前記1つまたは複数のコアが前記複数のセグメントに結合される制御論理と
    を含む装置。
  13. 前記複数のセグメントのそれぞれが、あるレベルだけでなく、1つまたは複数の階層レベルまたは下位のレベルの単一レイヤをさらに含む、請求項12に記載の装置。
  14. 第1の階層レベルが複数のコンポーネントを含み、第2の階層レベルが少なくとも1つのコンポーネントを含み、前記第1の階層レベルのコンポーネントに印加される少なくとも1つの制御信号を使用して前記第1の階層レベル内でデータを伝搬する、請求項13に記載の装置。
  15. 前記第2の階層レベルの前記少なくとも1つのコンポーネントが少なくとも1つの非階層使用可能コンポーネントを含む、請求項14に記載の装置。
  16. 前記少なくとも1つの制御信号の印加をフィルタリングする前記階層使用可能コンポーネントから前記第2の階層レベルの前記少なくとも1つの非階層使用可能コンポーネントへの階層選択制御信号を使用するように適合される制御論理を含む、請求項15に記載の装置。
  17. 前記第2の階層レベルの前記少なくとも1つの非階層使用可能コンポーネントが計器に結合され、
    前記制御論理は、データが前記第1の階層レベルを通じて伝搬される間、前記第2の階層レベルが選択解除され、前記計器を並行してテスト可能となる場合、前記第2の階層レベル内のデータの伝搬を防ぐ、請求項15に記載の装置。
  18. 前記計器が前記第2の階層レベルの前記少なくとも1つの非階層使用可能コンポーネントの内の少なくとも1つにテスト結果を提供する、請求項17に記載の装置。
  19. 前記第2の階層レベルが選択解除される場合に、前記制御論理は、データが前記第1の階層レベル内を伝搬する間の前記第2の階層レベル内のデータの伝搬を防ぐ、請求項13に記載の装置。
  20. 前記第2の階層レベルが選択される場合に、前記制御論理は、データが前記第1の階層レベル内を伝搬する間の前記第2階層レベル内のデータの伝搬を許可する、請求項13に記載の装置。
  21. 前記第1の階層レベルの前記コンポーネントが前記第2の階層レベルを選択及び選択解除するように適合される階層使用可能コンポーネントを含む、請求項13に記載の装置。
JP2015020979A 2008-01-30 2015-02-05 システムオンチップのスキャンパスの部分を分離するための装置及び方法 Active JP6587806B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/022,411 2008-01-30
US12/022,411 US7958417B2 (en) 2008-01-30 2008-01-30 Apparatus and method for isolating portions of a scan path of a system-on-chip

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010544999A Division JP2011512523A (ja) 2008-01-30 2009-01-21 システムオンチップのスキャンパスの部分を分離するための装置及び方法

Publications (2)

Publication Number Publication Date
JP2015111139A true JP2015111139A (ja) 2015-06-18
JP6587806B2 JP6587806B2 (ja) 2019-10-09

Family

ID=40566383

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010544999A Pending JP2011512523A (ja) 2008-01-30 2009-01-21 システムオンチップのスキャンパスの部分を分離するための装置及び方法
JP2015020979A Active JP6587806B2 (ja) 2008-01-30 2015-02-05 システムオンチップのスキャンパスの部分を分離するための装置及び方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010544999A Pending JP2011512523A (ja) 2008-01-30 2009-01-21 システムオンチップのスキャンパスの部分を分離するための装置及び方法

Country Status (6)

Country Link
US (1) US7958417B2 (ja)
EP (1) EP2240790A1 (ja)
JP (2) JP2011512523A (ja)
KR (1) KR101206676B1 (ja)
CN (1) CN101932945A (ja)
WO (1) WO2009097088A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7949915B2 (en) * 2007-12-04 2011-05-24 Alcatel-Lucent Usa Inc. Method and apparatus for describing parallel access to a system-on-chip
US7958479B2 (en) * 2007-12-04 2011-06-07 Alcatel-Lucent Usa Inc. Method and apparatus for describing and testing a system-on-chip
US7962885B2 (en) * 2007-12-04 2011-06-14 Alcatel-Lucent Usa Inc. Method and apparatus for describing components adapted for dynamically modifying a scan path for system-on-chip testing
US7954022B2 (en) * 2008-01-30 2011-05-31 Alcatel-Lucent Usa Inc. Apparatus and method for controlling dynamic modification of a scan path
US7958417B2 (en) * 2008-01-30 2011-06-07 Alcatel-Lucent Usa Inc. Apparatus and method for isolating portions of a scan path of a system-on-chip
US8627159B2 (en) * 2010-11-11 2014-01-07 Qualcomm Incorporated Feedback scan isolation and scan bypass architecture
US8516318B2 (en) * 2010-12-15 2013-08-20 International Business Machines Corporation Dynamic scan
US9188643B2 (en) 2012-11-13 2015-11-17 Globalfoundries Inc. Flexible performance screen ring oscillator within a scan chain
KR102066661B1 (ko) * 2013-09-02 2020-01-15 삼성전자 주식회사 스캔-체인으로 연결된 플립-플롭들의 값들을 jtag 인터페이스를 이용하여 재구성할 수 있는 집적 회로, 이의 동작 방법, 및 상기 집적 회로를 포함하는 장치들
US9128151B1 (en) * 2014-05-08 2015-09-08 International Business Machines Corporation Performance screen ring oscillator formed from paired scan chains
US9097765B1 (en) 2014-05-08 2015-08-04 International Business Machines Corporation Performance screen ring oscillator formed from multi-dimensional pairings of scan chains
CN105551527B (zh) * 2014-11-03 2018-10-09 龙芯中科技术有限公司 Cam的测试电路、测试方法和装置
US9766289B2 (en) 2015-10-06 2017-09-19 Nxp Usa, Inc. LBIST debug controller
DE102017216444B3 (de) 2017-09-15 2019-01-03 Hochschule Hamm-Lippstadt Microchip mit einer Vielzahl von rekonfigurierbaren Teststrukturen
US10436840B2 (en) * 2017-10-26 2019-10-08 Nvidia Corp. Broadcast scan network
CN112994927B (zh) * 2021-02-04 2022-11-25 海光信息技术股份有限公司 一种菊花链拓扑的检索方法及检索装置

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60154173A (ja) * 1984-01-25 1985-08-13 Toshiba Corp スキヤン方式論理回路
JPS60239836A (ja) * 1984-05-15 1985-11-28 Fujitsu Ltd 論理回路の故障診断方式
JPS6293672A (ja) * 1985-10-21 1987-04-30 Hitachi Ltd 階層型論理装置
JPS63243890A (ja) * 1987-03-31 1988-10-11 Toshiba Corp 半導体集積回路装置
JPS63308583A (ja) * 1987-03-06 1988-12-15 テキサス インスツルメンツ インコーポレイテツド 境界走査試験装置
US4872169A (en) * 1987-03-06 1989-10-03 Texas Instruments Incorporated Hierarchical scan selection
JPH04357477A (ja) * 1991-03-20 1992-12-10 Mitsubishi Electric Corp バイパススキャンパスおよびそれを用いた集積回路装置
JPH10253719A (ja) * 1996-10-31 1998-09-25 Sgs Thomson Microelectron Ltd Tapコントローラを有する集積回路
JPH113367A (ja) * 1997-03-14 1999-01-06 Interuniv Micro Electron Centrum Vzw デジタルシステムのインプリメント可能な記述を生成する設計環境および方法
US5862152A (en) * 1995-11-13 1999-01-19 Motorola, Inc. Hierarchically managed boundary-scan testable module and method
WO2001053844A1 (en) * 2000-01-18 2001-07-26 Cadence Design Systems, Inc. Hierarchical test circuit structure for chips with multiple circuit blocks
US6378090B1 (en) * 1998-04-24 2002-04-23 Texas Instruments Incorporated Hierarchical test access port architecture for electronic circuits including embedded core having built-in test access port
JP2002543529A (ja) * 1999-04-30 2002-12-17 メンター グラフィックス コーポレイション 埋め込まれたコアを有する試験可能回路設計を形成する方法及び装置
JP2003078020A (ja) * 2001-09-05 2003-03-14 Fujitsu Ltd 試験回路および半導体集積回路装置
US6587981B1 (en) * 1999-11-29 2003-07-01 Agilent Technologies, Inc. Integrated circuit with scan test structure
JP2006220515A (ja) * 2005-02-09 2006-08-24 Fujitsu Ltd Jtag試験方式
JP2011505573A (ja) * 2007-12-04 2011-02-24 アルカテル−ルーセント ユーエスエー インコーポレーテッド 並列アクセスおよび直列アクセスを伴う、システムオンチップをテストするための方法ならびに装置
JP2011505643A (ja) * 2007-12-04 2011-02-24 アルカテル−ルーセント ユーエスエー インコーポレーテッド 並列アクセスおよび直列アクセスを伴う、システムオンチップをテストするための方法ならびに装置
JP2011511289A (ja) * 2008-01-30 2011-04-07 アルカテル−ルーセント ユーエスエー インコーポレーテッド スキャン経路の動的修正を制御するための装置および方法
JP2011512568A (ja) * 2007-12-04 2011-04-21 アルカテル−ルーセント ユーエスエー インコーポレーテッド システムオンチップテストに関するスキャンパスを動的に修正するように適合された構成要素を記述するための方法及び装置
JP2011512523A (ja) * 2008-01-30 2011-04-21 アルカテル−ルーセント ユーエスエー インコーポレーテッド システムオンチップのスキャンパスの部分を分離するための装置及び方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6708144B1 (en) * 1997-01-27 2004-03-16 Unisys Corporation Spreadsheet driven I/O buffer synthesis process
US6606588B1 (en) * 1997-03-14 2003-08-12 Interuniversitair Micro-Elecktronica Centrum (Imec Vzw) Design apparatus and a method for generating an implementable description of a digital system
US6425100B1 (en) * 1998-04-24 2002-07-23 Texas Instruments Incorporated Snoopy test access port architecture for electronic circuits including embedded core with built-in test access port
US6430718B1 (en) * 1999-08-30 2002-08-06 Cypress Semiconductor Corp. Architecture, circuitry and method for testing one or more integrated circuits and/or receiving test information therefrom
US6631504B2 (en) * 2000-01-18 2003-10-07 Cadence Design Systems, Inc Hierarchical test circuit structure for chips with multiple circuit blocks
US7181705B2 (en) * 2000-01-18 2007-02-20 Cadence Design Systems, Inc. Hierarchical test circuit structure for chips with multiple circuit blocks
US6665828B1 (en) * 2000-09-19 2003-12-16 International Business Machines Corporation Globally distributed scan blocks
US6687981B2 (en) * 2001-08-14 2004-02-10 Siemens Westinghouse Power Corporation Apparatus for positioning leads of a power generator
KR100430074B1 (ko) 2002-01-08 2004-05-03 학교법인 한양학원 시스템칩 테스트 접근을 위한 랩드 코아 연결 모듈
US6678875B2 (en) * 2002-01-25 2004-01-13 Logicvision, Inc. Self-contained embedded test design environment and environment setup utility
US20040002832A1 (en) * 2002-05-20 2004-01-01 Chan Patrick P. Method and apparatus for boundary scan of serial interfaces
US20050097416A1 (en) * 2003-10-31 2005-05-05 Dominic Plunkett Testing of integrated circuits using boundary scan
KR100514319B1 (ko) * 2003-12-02 2005-09-13 조상욱 시스템 온 칩의 테스트를 위한 코아 접속 스위치
US7356745B2 (en) * 2004-02-06 2008-04-08 Texas Instruments Incorporated IC with parallel scan paths and compare circuitry
DE602005015422D1 (de) 2004-02-17 2009-08-27 Inst Nat Polytech Grenoble Integrierter schaltungschip mit kommunikationsmitteln, wodurch eine fernbedienung von testmitteln von ip-kernen der integrierten schaltung möglich wird
US7188330B2 (en) * 2004-05-18 2007-03-06 Lsi Logic Corporation Handling of unused coreware with embedded boundary scan chains to avoid the need of a boundary scan synthesis tool during custom instance creation
US7360133B2 (en) * 2004-05-18 2008-04-15 Lsi Logic Corporation Method for creating a JTAG tap controller in a slice for use during custom instance creation to avoid the need of a boundary scan synthesis tool
EP1662208A1 (en) * 2004-11-29 2006-05-31 Electrical & Electronics Ltd. Electric oven
US7502979B2 (en) * 2005-06-10 2009-03-10 Altera Corporation Pipelined scan structures for testing embedded cores
US7610568B2 (en) * 2005-10-20 2009-10-27 Agere Systems Inc. Methods and apparatus for making placement sensitive logic modifications
CN101297208B (zh) 2005-10-24 2012-05-30 Nxp股份有限公司 Ic测试方法和设备
JP4303719B2 (ja) * 2005-12-08 2009-07-29 Necエレクトロニクス株式会社 半導体集積回路およびその制御方法

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60154173A (ja) * 1984-01-25 1985-08-13 Toshiba Corp スキヤン方式論理回路
JPS60239836A (ja) * 1984-05-15 1985-11-28 Fujitsu Ltd 論理回路の故障診断方式
JPS6293672A (ja) * 1985-10-21 1987-04-30 Hitachi Ltd 階層型論理装置
JPS63308583A (ja) * 1987-03-06 1988-12-15 テキサス インスツルメンツ インコーポレイテツド 境界走査試験装置
US4872169A (en) * 1987-03-06 1989-10-03 Texas Instruments Incorporated Hierarchical scan selection
JP2778692B2 (ja) * 1987-03-06 1998-07-23 テキサス インスツルメンツ インコーポレイテツド 境界走査試験装置
JPS63243890A (ja) * 1987-03-31 1988-10-11 Toshiba Corp 半導体集積回路装置
JPH04357477A (ja) * 1991-03-20 1992-12-10 Mitsubishi Electric Corp バイパススキャンパスおよびそれを用いた集積回路装置
US5862152A (en) * 1995-11-13 1999-01-19 Motorola, Inc. Hierarchically managed boundary-scan testable module and method
JPH10253719A (ja) * 1996-10-31 1998-09-25 Sgs Thomson Microelectron Ltd Tapコントローラを有する集積回路
JPH113367A (ja) * 1997-03-14 1999-01-06 Interuniv Micro Electron Centrum Vzw デジタルシステムのインプリメント可能な記述を生成する設計環境および方法
US6378090B1 (en) * 1998-04-24 2002-04-23 Texas Instruments Incorporated Hierarchical test access port architecture for electronic circuits including embedded core having built-in test access port
JP2002543529A (ja) * 1999-04-30 2002-12-17 メンター グラフィックス コーポレイション 埋め込まれたコアを有する試験可能回路設計を形成する方法及び装置
US6587981B1 (en) * 1999-11-29 2003-07-01 Agilent Technologies, Inc. Integrated circuit with scan test structure
WO2001053844A1 (en) * 2000-01-18 2001-07-26 Cadence Design Systems, Inc. Hierarchical test circuit structure for chips with multiple circuit blocks
JP2004500712A (ja) * 2000-01-18 2004-01-08 ケイデンス・デザイン・システムズ・インコーポレーテッド 多数の回路ブロックを有するチップ用階層試験回路構造
JP2003078020A (ja) * 2001-09-05 2003-03-14 Fujitsu Ltd 試験回路および半導体集積回路装置
JP2006220515A (ja) * 2005-02-09 2006-08-24 Fujitsu Ltd Jtag試験方式
JP2011505573A (ja) * 2007-12-04 2011-02-24 アルカテル−ルーセント ユーエスエー インコーポレーテッド 並列アクセスおよび直列アクセスを伴う、システムオンチップをテストするための方法ならびに装置
JP2011505643A (ja) * 2007-12-04 2011-02-24 アルカテル−ルーセント ユーエスエー インコーポレーテッド 並列アクセスおよび直列アクセスを伴う、システムオンチップをテストするための方法ならびに装置
JP2011512568A (ja) * 2007-12-04 2011-04-21 アルカテル−ルーセント ユーエスエー インコーポレーテッド システムオンチップテストに関するスキャンパスを動的に修正するように適合された構成要素を記述するための方法及び装置
JP2011511289A (ja) * 2008-01-30 2011-04-07 アルカテル−ルーセント ユーエスエー インコーポレーテッド スキャン経路の動的修正を制御するための装置および方法
JP2011512523A (ja) * 2008-01-30 2011-04-21 アルカテル−ルーセント ユーエスエー インコーポレーテッド システムオンチップのスキャンパスの部分を分離するための装置及び方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"IEEE 1687 IJTAG HW PROPOSAL", JPN6017003123, 25 June 2007 (2007-06-25) *

Also Published As

Publication number Publication date
KR20100107491A (ko) 2010-10-05
JP2011512523A (ja) 2011-04-21
KR101206676B1 (ko) 2012-11-29
US20090193304A1 (en) 2009-07-30
US7958417B2 (en) 2011-06-07
WO2009097088A1 (en) 2009-08-06
JP6587806B2 (ja) 2019-10-09
CN101932945A (zh) 2010-12-29
EP2240790A1 (en) 2010-10-20

Similar Documents

Publication Publication Date Title
JP6587806B2 (ja) システムオンチップのスキャンパスの部分を分離するための装置及び方法
KR101216776B1 (ko) 시스템 온 칩 장치 및 그 테스트 방법
US7418640B2 (en) Dynamically reconfigurable shared scan-in test architecture
US7352169B2 (en) Testing components of I/O paths of an integrated circuit
US7870448B2 (en) In system diagnostics through scan matrix
KR20130045158A (ko) 스캔 체인에 대한 동적 클록 도메인 바이패스
US7533309B2 (en) Testing memories using algorithm selection
US20140149812A1 (en) Scan test circuitry with control circuitry configured to support a debug mode of operation
US20130275824A1 (en) Scan-based capture and shift of interface functional signal values in conjunction with built-in self-test
US8898527B2 (en) At-speed scan testing of clock divider logic in a clock module of an integrated circuit
US20110175638A1 (en) Semiconductor integrated circuit and core test circuit
US8924801B2 (en) At-speed scan testing of interface functional logic of an embedded memory or other circuit core
US8839063B2 (en) Circuits and methods for dynamic allocation of scan test resources
US7313745B1 (en) Decoder for pin-based scan test
CN106680688B (zh) 利用并行扫描测试数据输入和输出测试多核集成电路
US20140201584A1 (en) Scan test circuitry comprising at least one scan chain and associated reset multiplexing circuitry
CN108152719B (zh) 用于双端口存储器应用的扫描单元
Jang et al. Reconfigurable scan architecture for high diagnostic resolution
JP2006162490A (ja) スキャンテスト回路
KR20050060865A (ko) 신호 단자 단락과 정상 동작 검출을 위하여 에스오씨아이피 코아를 스캔 시험할 수 있는 집적회로 장치 및 그방법
JP2002189060A (ja) 半導体集積回路装置およびその検査方法
JP2003248036A (ja) 半導体回路のテスト方法、及びテスト装置
JP2011179860A (ja) テスト回路
JP2012150862A (ja) 半導体集積回路のメモリテスト回路及びメモリテスト方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150305

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160525

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160823

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170607

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20170718

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20170908

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180831

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190619

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190911

R150 Certificate of patent or registration of utility model

Ref document number: 6587806

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250