JP2013524166A - Tsvベースの3次元積層icのためのテストアーキテクチャ - Google Patents

Tsvベースの3次元積層icのためのテストアーキテクチャ Download PDF

Info

Publication number
JP2013524166A
JP2013524166A JP2013500537A JP2013500537A JP2013524166A JP 2013524166 A JP2013524166 A JP 2013524166A JP 2013500537 A JP2013500537 A JP 2013500537A JP 2013500537 A JP2013500537 A JP 2013500537A JP 2013524166 A JP2013524166 A JP 2013524166A
Authority
JP
Japan
Prior art keywords
die
test
output port
dice
stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013500537A
Other languages
English (en)
Other versions
JP6002124B2 (ja
Inventor
エリック・ヤン・マリニッセン
ヤコブス・フェルブレー
マリオ・コネイネンブルグ
チ・チュン−チュアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Stichting Imec Nederland
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Stichting Imec Nederland
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC, Stichting Imec Nederland filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2013524166A publication Critical patent/JP2013524166A/ja
Application granted granted Critical
Publication of JP6002124B2 publication Critical patent/JP6002124B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318505Test of Modular systems, e.g. Wafers, MCM's
    • G01R31/318508Board Level Test, e.g. P1500 Standard
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318505Test of Modular systems, e.g. Wafers, MCM's
    • G01R31/318511Wafer Test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318505Test of Modular systems, e.g. Wafers, MCM's
    • G01R31/318513Test of Multi-Chip-Moduls
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31712Input or output aspects
    • G01R31/31717Interconnect testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

プレボンドダイステスティング及びポストボンドスタックテスティングを可能にする3D−SICのためのテストアクセスアーキテクチャが示される。テストアクセスアーキテクチャはモジュラーテストアプローチに基づくものであり、該アプローチでは種々のダイス、それらの埋め込み式IPコア、ダイス間のTSVベースの相互接続、及び外部I/Osが、独立ユニットとしてテストされ、これにより3D−SICテストフローの最適化を可能にする。アーキテクチャは、コア、ダイス、及びプロダクトレベルにおいて、現存のテスト用設計(DfT)ハードウエアに基づくものであり、それらを再利用する。ラッパユニットと称されるテスト構造を介して個別のダイススタックに、テストアクセスが為される。

Description

本発明は、概略、集積回路(IC)設計及びテスティングに関し、特に、スルー基板ビア(TSV)により相互に接続された3次元(3D)積層IC(SIC)のテストアーキテクチャ、及びその方法に関する。
半導体産業は、それ自身、TSVに基づき3D−SICのための準備をしている。TSVは、薄型ダイスの裏面から進展し、別のダイスへの垂直方向の相互の接続を可能にするネイルを導いている。TSVは、従来のワイヤボンディングと比較して、高密度、低容量の相互接続であり、積層ダイス間にてより多数の相互の接続を可能とするものであるが、より高速且つ低消費電力で動作する。TSVベースの3D技術は、新たなアーキテクチャ状況を切り開くことにより、「スーパーチップ」の新たな世代の創作を可能にする。それらのより小さいフォームファクタ及びより低い全体製造コストと組み合わせて、3D−SICは多数の説得力のある利点を有し、よって、それらの技術は急速に進歩している。全てのマイクロエレクトロニクスのように、TSVベースのダイススタックは、欠陥に敏感な製造プロセスを有し、よって、3D−SICは、製品品質を保証するための電気的テスティングを受ける必要がある。プロセス及びデザイン技術は、成熟に向かっており、不具合を製造してしまうことのための3D−SICをテストすることは、多くの人により、これらのデバイスを製品実現するための、主要な、更に大きい未解決の障害であると考えられている。
現下、様々なタイプのテストアーキテクチャが存在する。
PCBに対して共通利用されるテストアクセスアーキテクチャは、(「JTAG」としても知られる)IEEE Std.1149.1バウンダリスキャンに基づくものである。チップがIEEE 1149.1に準拠するために、小さいハードウエアラッパがそれらに付加される。あらゆるJTAGターミナルは更なるチップピンを要求するものでありこれらは高価であると考えられるので、IEEE 1149.1は、狭いシングルビットインタフェースを介して稼働する。幸運なことに、IEEE 1149.1の主焦点はPCB相互接続テスティングであり、それは、少数のテストパターンしか要求しない。
シングルビットインタフェースピンは、TDI及びTDOと称され、それらは、命令とテストデータの両方を運ぶように調整されている。コントロールインタフェースは、ピンTCK、TMS(及び、ときにはTRSTNも)から成る。3つのチップ、つまり、ChipA、ChipB及びChipCを含むPCB10に対して、共通のJTAGベースのテストアクセスアーキテクチャが図1に示される。コントロールシグナルTCK(クロック)、TMS(Mode Select)及びTRSTNは、全てのチップ、ChipA、ChipB及びChipCにブロードキャストされ、一方、TDI−TDOピンはチップを介して連結される。ブロードキャストコントロールシグナルは、命令を進んで受信するモード内でTAPコントローラ有限状態マシン12を構成でき、それらTAPコントローラ有限状態マシン12は、デイジーチェーン式のTDI−TDOインタフェースを介して命令レジスタ(IR)の中を順次スキャンされる。このことにより様々なチップに対する様々な命令が可能となることに着目すべきである。例えば、ChipBはINTESTモード(チップの内部テスト)で構成可能であり、ChipA及びCは、BYPASSモードで構成される。続いて、チップは、ブロードキャストコントロールシグナルを介して命令されたテストモード内に持ち込まれ、テストデータは、デイジーチェーン式のTDI−TDOインタフェースを介して中で再び外でスキャンされる。選択されたテストデータレジスタ(例えば、バイパスレジスタ14、バウンダリスキャンレジスタ(BSR)15、若しくはチップ内部スキャンチェーン16)は、命令に依存し、異なるチップに対して異なるものであり得る。いずれにせよ、図1に示すように、それはシングルシフトレジスタである。
埋め込み式IPコアを含む(2次元)SOCのための、共通して用いられるテストアクセスアーキテクチャは、IEEE標準1500を基礎とする。IEEE1149.1のように、IEEE1500は、被試験モジュール周りに小さいハードウエアラッパを付加する。図2に示すように、IEEE1500ベースのSOCのためのテストアクセスアーキテクチャは、IEEE1149.1ベースのPCBとの類似性を示す。3つのコア、CoreA、CoreB及びCoreCを含む例示のSOC20に対して、共通のIEEE1500ベースのテストアクセスアーキテクチャ21が、図2に示される。
コントロールシグナルTCK、TMS及びTRSTNは、全てのコア、CoreA、CoreB、CoreCにブロードキャストされる。IEEE1149.1テストストラクチャを介して適当なモードで構成されると、デイジーチェーン式のWSI−WSOインタフェースを介してコアのラッパ命令レジスタ(WIR)23内にシフトされる。その同じ命令インタフェースは、シングルビットテストデータインタフェースも兼ねる。しかしながら、類似点は別として、1149.1ベースのテストアクセスアーキテクチャと、1500ベースのテストアクセスアーキテクチャとの間には重大な差異もある。
・IEEE1149.1とは異なり、IEEE1500の焦点は、コア間の配線相互接続をテストすること(のみ)にあるのではない。まず、IPコア間内の相互接続回路は通常、ワイヤのみから成るのではなく、深いシーケンスロジックにより形成されることが多い。更に、IEEE1500は、コア自身のテストもサポートするように意図されており、IPコアは、かなりの大きさの、複雑なデザインのエンティティであることが多い。従って、関連するテストデータの容量は通常、十分に多く、シングルビットテストデータインタフェースは十分ではない。よって、IEEE1500は、(WPI及びWPOという名の)任意のnビット(“パラレル”)テストデータインタフェースを有するのであり、ここでnは、件のIPコアのテストデータボリューム要求に適合するように、ユーザにより見積もられ得る。
・より広範なインタフェースを埋め込み式のIPコアに付加することは、IEEE1149.1内としてチップピンを付加するものではなく、コアターミナルのみを付加するものである。このコアターミナルは、チップピンよりもかなり廉価であると考えられる。
・IEEE1149.1は、2つの(若しくは3つの)標準化されたコントロールピンTCK、TMS、TRSTNであり、これらは、TAPコントローラ12によりチップ内部で拡張する。IEEE1500は、TAPコントローラを有していないが、コントロールシグナルを直接受信する。これらは6つ(7つ)のシグナル:WRCK、WRSTN、SELECTWIR、SHIFTWR、CAPTUREWR、UPDATEWR(及び任意のTRANSFERDR)である。
図2は、パラレルラッパバイパス24も特徴付ける。このバイパス24は、IEEE1500により命じられるものではないが、同じTAM(テストアクセスメカニズム)内の他のコアへのテストアクセスパスを短くするように実装されることが多い。アクティブWIR命令モードと、TAMチェーン間の接続との間の、実効的なマッピングを作成することは、図2に示すスイッチボックス25、26のタスクである。
IEEE1500は、コアレベルのテストラッパを標準化するに過ぎず、任意のパラレルTAMのSOCレベルテストアクセスアーキテクチャを標準化しない。SOCレベルでは、TAMタイプ、TAMアーキテクチャ、及び対応するテストスケジュールに関して、最適化が為され得る。図2に示すように、標準的実装では、SOC20自身には、ボードレベルテスティングを促進するためのIEEE1149.1ラッパが備わってもよい。IEEE1500シリアルインタフェース(WSC、WSI、WSO)は、他の更なるテストピンを省くために、IEEE1149.1テストアクセスポート上に多重化されてもよい。IEEE1500パラレルインタフェース(WPI及びWPO)は、標準のスキャンチェーンによく見られるように、機能外部ピン上にマルチプレクスされ得る。このことは、他の更なるテストピンも省く。
Dean L. Lewis 及び Hsien-Hsin S. Leeによる「A Scan-Island Based Design Enabling Prebond Testability in Die-Stacked Microprocessors」 Proc. IEEE International Test Conference (ITC),2007年10月(非特許文献1)は、3D−SICのテスタビリティに関するものである。該文献は、プレボンドダイステスティングに注目するものであり、受け入れ可能な合成スタック生産量に到達することが要求される。種々のスタック段階により形成される不完全な製品をテストすることは、潜在的問題として識別される。論文では、「スキャンアイランド」アプローチが提示されており、それは、本来的にIEEE1149.1及びIEEE1500からのラッパ技術である。
3D−SICテスティングに関する多くの他の文献は、テストアクセスアーキテクチャを黙示的に提示するものであるが、一方で、結果としてのテスト長及び/又は関連のワイヤ長を最小化するためにそのアーキテクチャのデザインパラメータを最適化することに注目する。Xiaoxia Wu, Paul Falkenstern 及び Yuan Xieによる「Scan Chain Design for Three-dimensional Integrated Circuits (3D ICs)」, Proc. International Conference on Computer Design (ICCD), p. 208-214, 2007年10月(非特許文献2)は、3D−SICのための3個のスキャンチェーン最適化アプローチを記載する。この論文は、シングルロジックテストユニットが、多重段階に亘って区分されることを、黙示的に仮定する。Xiaoxia Wu らによる「Test-Access Mechanism Optimization for Core-Based Three- Dimensional SOCs」, Proc. International Conference on Computer Design (ICCD), p. 212-218, 200年10月(非特許文献3)では、著者は、個々のコアが単体の段階上に存在する(2D−SICに対して共通する)コアベースの設計及びテストアプローチを提示する。該論文は、ILPベースの(整数線形計画法)テストアクセスメカニズム(TAM)最適化アプローチを提示し、該最適化アプローチは、更なる「テストTSV」の数に対する制約下で結果としてのテスト長を最小化することを試みる。両方の論文は、ポストボンドスタックテスティングに排他的に注目し、プレボンドダイステスティングのための条件を無視する。
Li Jiang, Lin Huang 及び Qiang Xuによる「Test Architecture Design and Optimization for Three-Dimensional SoCs」, Proc. Design, Automation, and Test in Europe (DATE), pages 220-225, 2009年4月(非特許文献4)にて、Jiangらは、ユーザ定義のコスト重み因子によりテスト長及びTAMワイヤ長を最小化するシミュレート化されたアニーリングに基づく、TAM最適化アプローチを記載する。彼らは、モジュラーコアベースの3DSICテストアプローチを仮定し、プレボンド及びポストボンドテスト長を考慮に入れる。該論文は、ウエファ及びパッケージ化されたスタックテストアクセスに関する制約を欠くものであり、そのことにより、TAMは、どのスタック段階かで開始し終了することが非現実的なものとなってしまう。継承の論文である、Li Jiang らによる「Layout- Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test-Pin-Count Constraint」, Proc. International Conference on Computer-Aided Design (ICCAD), p.191-196, 2009年11月(非特許文献5)は、問題のダイスにて専用のプローブパッドを介して適用されるプレボンドテストによって動作することにより、これを部分的に改善するものであり、これにたいして、最大のカウントが仮定される。該論文は、ポストボンドスタックテストアーキテクチャを決定する経験則を提示するものであり、該アーキテクチャから、セグメントが出来る限り多く再利用されてプレボンドのための更なるダイスレベルテストアーキテクチャを構築し、一方で、最大限のプローブパッドカウント制約に合致し、テスト長及びTAMワイヤ長を最小化する。専用のプローブパッドを付加することは、基板エリアの観点からは高価であり、よって回避すべきものである。
Chin-Yen Lo, Yu-Tsao Hsing, Li-Ming Denq 及び Cheng-Wen Wuによる「SOC Test Architecture and Method for 3D-IC」, DATE '09 Friday Workshop on 3D integration, Nice,2009年4月24日(非特許文献6)にて、Chin-Yen Loらは、3D−IC製造の生産の問題を考慮するために、ダイススタックの前に既知のダイス(KGD)テストを実行することを、記載する。新たなKGDがもとのスタックされたチップ上に搭載されると常に、2つの最上位の層の間での3D相互接続検証のために、スルー基板ビアテストが行われる。拡張JTAG/IEEE1149.1テストアクセスポートコントローラ及びマルチプレクサベースのテストアクセスメカニズム(TAM)バスからなるテストアーキテクチャが、記載される。
3D積層ICのテストアーキテクチャを改良する余地はある。
Dean L. Lewis 及び Hsien-Hsin S. Leeによる「A Scan-Island Based Design Enabling Prebond Testability in Die-Stacked Microprocessors」 Proc. IEEE International Test Conference (ITC),2007年10月 Xiaoxia Wu, Paul Falkenstern 及び Yuan Xieによる「Scan Chain Design for Three-dimensional Integrated Circuits (3D ICs)」, Proc. International Conference on Computer Design (ICCD), p. 208-214, 2007年10月 Xiaoxia Wu らによる「Test-Access Mechanism Optimization for Core-Based Three- Dimensional SOCs」, Proc. International Conference on Computer Design (ICCD), p. 212-218, 200年10月 Li Jiang, Lin Huang 及び Qiang Xuによる「Test Architecture Design and Optimization for Three-Dimensional SoCs」, Proc. Design, Automation, and Test in Europe (DATE), pages 220-225, 2009年4月 Li Jiang らによる「Layout- Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test-Pin-Count Constraint」, Proc. International Conference on Computer-Aided Design (ICCAD), p.191-196, 2009年11月 Chin-Yen Lo, Yu-Tsao Hsing, Li-Ming Denq 及び Cheng-Wen Wuによる「SOC Test Architecture and Method for 3D-IC」, DATE '09 Friday Workshop on 3D integration, Nice,2009年4月24日
3D積層ICのための良好なテストアーキテクチャ、及び、3D積層ICをテストするための方法を提示することが、本発明の実施形態の目的である。
上記目的は、本発明の実施形態に係る装置及び方法により完遂される。
第1の形態では、本発明は、ダイスをテストするための、及び/又は、ダイスが積層されるとき、ダイスと隣接ダイスとの間の相互接続をテストするための、テスト回路を含むダイスを提供する。それら相互接続は、例えば、TSVsであればよいが、本発明はそれらに限定されない。それは、例えば、ワイヤ本ディングなどの他の相互接続技術により得られる他の相互接続であってもよい。テスト回路は、
テスト刺激を受信するための第1のインプットポート及びテストレスポンスを送信するための第1のアウトプットポートであって、前記第1のインプットポート及び前記第1のアウトプットポートは前記ダイスの同じ面(本発明に関しては、「面」は、ダイスの主要な表面として、即ち、多くの場合底面若しくは頂面として定義される。)に位置し、前記第1のインプットポートと前記第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがある、第1のインプットポート及び第1のアウトプットポートと、
別のダイスに向けてテスト刺激を送信するための少なくとも一つの第2のアウトプットポート、及び、別のダイスからテストレスポンスを受信するための少なくとも一つの第2のインプットポートであって、前記第1のインプットポートと前記第2のアウトプットポートのうちの少なくとも一つとの間にてダイス内部にはデータシグナルパスがあり、前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがある、第2のインプットポート及び第2のアウトプットポートとを含む。
本発明の実施形態に係るダイスは、前記第1のインプットポートと前記第1のアウトプットポートとの間におけるダイス内部のデータシグナルパスに亘ってシグナルを送信するモードと、前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートとの間におけるダイス内部のデータシグナルパスに亘ってシグナルを送信するモードとの間を、スイッチする複数のスイッチを、更に含んでもよい。スイッチの数は、参照下のダイス頂部上に予め配置されるダイスの数に依存し得る。
本発明の実施形態により構築されるテストアクセスパスに亘って、刺激がダイス内に注入される。続いて、テスティングが発生する。ダイスはテストアクセスモードからテストモードへスイッチされる。注入される刺激は、ダイスをテストするために用いられ、テストレスポンスが生成される。続いて、ダイスは再び、テストモードからテストアクセスモードへスイッチし、生成されたレスポンスが送信され、同時に新たなテスト刺激がダイス内に注入される。モードの観点から、ダイスはイン状態と成りうるのであり、このことは、ダイスがターン若しくはエレベータモードとなり得ることを意味する。ターンモードでは、考慮下のダイスからのレスポンスは下方に送信される。エレベータモードでは、スタック内のより高いダイスからのレスポンスは下方に送信される。更に、ダイスはインテスト、エクステスト若しくはバイパスモードであってもよい。インテスト及びエクステストモードでは、ダイス内に注入される刺激は目的をテストするために実際に用いられる。バイパスモードでは、刺激は、(別のダイスに向けての)トランスポーテーションのためにのみダイスに注入される。
本発明の実施形態に係るダイスは、テストレスポンスが、前記第1のアウトプットの一つに向かって、前記第1のインプットと、前記少なくとも一つの第2のインプットポートの一つとのいずれかから、送信されるかどうかを決定する、命令をロードして格納する命令レジスタを、更に含み得る。命令レジスタは、それが固定されたアドレスには反応しないが、命令レジスタチェーン内の場所に反応するように、為され得る。従って、そのような命令レジスタを伴うダイスは、スタック内部のどの位置に配置されてもよく、固定された位置に対して運命付けされる必要は無い。
本発明の実施形態に係るダイスは、前記第1のインプットポートと前記少なくとも一つの第2のアウトプットポートとの間における前記データタシグナルパス内の少なくとも一つの登録エレメント、例えば、フリップ−フロップ、レジスタ、ラッチと、前記少なくとも一つの第2のインプットポートと前記第1のアウトプットポートとの間における前記データタシグナルパス内の少なくとも一つの登録エレメント、例えば、フリップ−フロップ、レジスタ、ラッチとを、更に含んでもよい。これらの登録エレメントは、別のダイスへのシグナルの伝播の間に発生する緩みを修繕するのに用いられ得る。登録エレメントを設けることにより、本発明の実施形態に係るダイスは、不確定数のスタック段階に対して稼働するように調整され得る。
本発明の実施形態に係るダイスは、少なくとも一つの更なるインプットポート及び/又は少なくとも一つの更なるアウトプットポートであって、前記第1のインプットポートと前記第1のアウトプットポートとの間における前記データタシグナルパス、及び/又は、前記第1のインプットポートと前記第2のアウトプットポートのうちの少なくとも一つとの間における前記データタシグナルパス、及び/又は、前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートとの間における前記データタシグナルパスに、接続する、少なくとも一つの更なるインプットポート及び/又は少なくとも一つの更なるアウトプットポートを、更に含む。これらの更なるインプットポート若しくはアウトプットポートは、プレボンドダイステスティングを促進するために調整された専用プローブパッドである。
本発明の実施形態に係るダイスは、前記ダイスがプレボンド構成内にあるのか若しくはポストボンド構成にあるのか、を自動的に判別する検知回路を、更に含んでもよい。前記第1のインプットポートと前記少なくとも一つの更なるインプットポートとの間を選択するコントロールシグナルを生成するように、前記検知回路が調整されているのが好ましい。
本発明の実施形態に係るダイス内では、前記第1のインプットポートと前記第1のアウトプットポートとの間のデータシグナルパスは、シングルビット幅の、即ちシリアルの、パス、及び、マルチビット幅の、即ちパラレルの、パスを含む。
本発明の実施形態に係るダイスは、テストデータをロードし格納するための、テスト用設計構造を、更に含み得る。テスト用設計構造は、データレジスタのセット、例えば、内部スキャンレジスタ、バウンダリスキャンレジスタ、バイパスレジスタ、ユーザ定義レジスタを含み得る。
本発明の実施形態に係るダイス内では、前記少なくとも一つの第2のインプットポート及び前記少なくとも一つの第2のアウトプットポートは、第1のインプット及びアウトプットポートの面に関して対向するダイスの面に物理的に配置され得る。
本発明の実施形態に係るダイスは、少なくとも一つのコアレベル命令レジスタの設けられた、少なくとも一つの埋め込み式コア、但しこれに限定されず例えば、IEEE標準1500準拠コアと、レジスタチェーン内に連結される、ダイスと関連する複数の命令レジスタとを含み、レジスタチェーンは、ダイスレベル命令レジスタ命令がコアレベル命令レジスタがバイパスされるかどうか決定するように動作すべく、調整されている。
本発明の実施形態は、準拠ダイスがスタック内に接合されると、(1)イントラダイ回路及び(2)インターダイス相互接続のテストのためのテストコントロール及びテストデータシグナルのトランスポーテーションを可能にする、スタックレベルテストアーキテクチャを設けるダイスレベルストラクチャを提供する。本発明の実施形態によると、それらテストは、プレスタック状況で及び/又はポストスタック状況で実行され得る。本発明の実施形態においてはポストスタック状況のテスティングは、部分的な及び/又は完全なスタックで実行され得る。本発明の実施形態によると、テスティングは、プレパッキング及び/又はポストパッキング状況で実行され得る。
第1の形態の特定の実施形態では、スルー基板ビア(TSV)により相互接続される複数の階層ダイスをテストするためのテストアーキテクチャが示される。テストアーキテクチャは、テストのシーケンスを実行するために構成されたテストアクセスメカニズムを含む。テストのシーケンスは、ダイス間の相互接続をテストするために、及び/又は、完全なスタック内でテストをするために、複数の積層ダイスのうちの、個々のダイス若しくは幾つかのダイスをテストするためのテストを含む。テストは、ボンディング前若しくは後に実行され得る。テストアーキテクチャは、複数のテストラッパユニットを更に含み、個々のテストラッパユニットはダイスの一つに関連し、専用プローブパッドを含む。テストアーキテクチャは、テストのシーケンスをロードし格納するように構成された複数の命令レジスタを含む。複数の命令レジスタの少なくとも一つは、個々のテストラッパユニットに関連する。複数の命令レジスタは、レジスタチェーン内に連結され得る。ラッパユニットはダイスのバウンダリに位置し、ダイス、ダイス間の相互接続、及び完全なスタックをテストする経路を提供する。それは、以下の特徴を伴う、ダイスレベルラッパを付加する。(1)プレボンドダイステスティングを促進するための、非底部ダイス上の専用プローブパッド、(2)ポストボンドスタックテスティングの間にテストコントロール及びデータシグナルを上下にトランスポートするテストエレベータ、(3)階層ラッパ命令レジスタ(WIR)チェーン。
ラッパユニットは、テストインタフェースシグナル、命令レジスタ、及び、データレジスタのセットを含む。命令レジスタは、ラッパユニットの動作をコントロールするテスト命令をロードするテストインタフェースシグナルによりアクセスされるレジスタであり、特に、命令は、データレジスタの選択をコントロールし、選択されたデータレジスタの動作のモードをコントロールする。選択されたデータレジスタは、テストデータをラッパユニット内に及びラッパユニットからシフトするテストインタフェースにより、アクセスされ得る。データレジスタのセットは、例えば、ダイス回路をテストするための内部スキャンレジスタ、テストの間にダイスのインプット及びアウトプットをコントロールするためのバウンダリレジスタ、及び、ラッパユニットをバイパスするためのバイパスを、含み得る。他のどんなユーザ定義のデータレジスタが、ラッパユニットのデータレジスタのセットに含まれてもよい。
本発明の実施形態に係るテストアーキテクチャは、テスト用設計(DfT)の更なるエリアコスト、テスト生成エフォート、及びテスト長の間の、トレードオフを提供する。基板エリア、例えば、シリコンエリアは、現存のイントラダイスDfT基盤、即ち、内部スキャンチェーン、テストコントロール、テストデータ圧縮回路、ビルトインセルフテストなどを再利用することにより、最小化され得る。
テストアクセスアーキテクチャは、テスト長を最小化する柔軟性のあるテストスケジュールを可能にする。テストアクセスアーキテクチャ自身は、テスト可能である。ローカルダイス及び埋め込み式IPコア内部の現存のDfTの正確な機能に依存すること無く、このことは為され得る。
特定の実施形態に係るテストアクセスアーキテクチャは、専用プローブパッドを含む。従って、非底部ダイスに対しても、プレボンドテスティングが可能である。
テストのシーケンスは、選択されたテストの第1のセットを含む。テストアクセスアーキテクチャは、専用のUターンタイプテストを含む。ポストボンドスタックテストに対しては、テストアクセスは底部ダイスを介してのみ可能である。このことは、テストコントロール及びテストデータのためのシグナルが排他的に、底部ダイスから到来し及び底部ダイスへ出て行く、ということを意味する。
テストのシーケンスは、選択されたテストの第2のセット、エレベータテストを含む。テストのこれらのセットにより、スタック内でより高く到達するために、TSVsを含みテストエレベータとして言及される新しいタイプのDfTハードウエアを介して上下にトランスポートされ得る。
全てのラッパ、TAMs、及びそれらのコントロールシグナル伝達パスは、全て、スタックにおける、そのダイスだけでなく、それより上のダイスのために、ダイスで予め設計される必要がある。従って、全ての段階に対して、DfTは、予め定義されたテストアクセスアーキテクチャに付着して設計され、若しくは修正され得る。
一つの形態では、テストアクセスアーキテクチャは、不確定数のスタック段階に対して機能するという意味において、拡張性がある。
第2の形態では、本発明は、本発明の第1の形態の実施形態に係る少なくとも一つのダイスを包含するスタックを包む。従って、本発明の実施形態に係るスタックは、ボンディングの前及び後の両方でテストを実行するように調整されたテストアーキテクチャを伴う少なくとも一つのダイスを含む。
本発明の実施形態に係るスタックでは、第1のダイスの第2のアウトプットポートが第2のダイスの第1のインプットポートに接続され、前記第2のダイスの第1のアウトプットポートが前記第1のダイスの第2のインプットポートに接続される。
本発明の実施形態に係るスタックでは、少なくとも一つのダイスが、外部インプット/アウトプットポートを含んでもよい。外部インプット/アウトプットポートを含む前記少なくとも一つのダイスは、スタックの先端にて配置され得る。
本発明の実施形態に係るスタックでは、異なるダイスに関連する複数の命令レジスタがレジスタチェーン内に連結され得る。命令レジスタは、固定されたアドレスにではなく、命令レジスタチェーン内の場所に、反応するように為され得る。従って、その命令レジスタを伴うダイスは、スタック内部のどの位置に配置されてもよく、固定された位置に対して運命付けされるものではなる。スタック内のダイスの少なくとも一つが、少なくとも一つのコアレベル命令レジスタの設けられた少なくとも一つの埋め込み式コア、例えば、IEEE準拠コアを含んでもよい。スタック内のダイスの少なくとも一つは、その上にスタックされた少なくとも一つの他のダイスを含んでもよい。前記レジスタチェーンは、ダイスレベル命令レジスタ命令が前記少なくとも一つの他のダイスのダイスレベル命令レジスタがバイパスされるかどうか決定するように動作すべく、調整されている階層命令レジスタチェーンであればよい。
更なる形態では、本発明は、ダイスのスタックをテストする方法であって、
前記方法は、
ダイスの第1の面にて、テストシグナルをスタックのダイスに加えるステップと、
前記ダイスと隣接ダイスとの間の相互接続を介して、前記テストシグナルをルート付けするステップと、及び、
前記第1の面にて前記ダイスからテストレスポンスを受信するステップと
を含む方法を提供する。
本発明の実施形態に係る方法は、プレボンドダイステスト及び/又はポストボンドスタックテストを含む複数のテストを実行するステップを含んでもよい。
本発明の特定の実施形態では、スルー基板ビア(TSV)により相互接続される複数の積層ダイスをテストするための方法が示される。前記方法は、3D−SIC内でテストのシーケンスを実行するステップを含み、スタックは複数のテストラッパユニットを含み、個々のテストラッパユニットはダイスの一つと関連する。前記方法は、ダイス/スタック/相互接続のテスティングを実装するテストモードで、テストロジックを動作するステップを含む。
3D−SICテストフロー、即ちテストのシーケンスは、(1)プレボンドダイステスト、及び(2)ポストボンドスタックテストを含む。プレボンドダイステストは、ウエファテストである。ポストボンドスタックテストは、非パッケージスタック及びパッケージスタックの両方上で遂行され得る。スタックのテストは、種々のダイスの(再)テスト、及び、ダイス間のTSVベースの相互接続のテストから構成され得る。本発明の実施形態に係る3D−SICテストアクセスアーキテクチャは、全てのこれらのテストをサポートする。非パッケージスタックをテストするとき、完全なスタックをテストすることが可能であるだけでなく、部分的なスタックをテストすることが可能である。更に、3D−SICがボード上に搭載されると、テストアクセスアーキテクチャは、外部相互接続テスティングをサポートする。
テストフローはモジュラーテストを含む。モジュラーテストは、独立のテストユニットとして、種々のダイス及びTSVベースの相互接続層を考慮する。複雑なダイスは、多重の細粒テストモジュール、例えば、埋め込み式コア内で更に再分割してもよい。3D−SICのためのモジュラーテスティングは以下の利点を備える。
(1)異種プロダクトの種々のモジュールのための異なるテスト。
(2)ブラックボックスIPのテスト。
(3)分割統治テスト生成及び利用。
(4)テスト再利用。
(5)テストフローのステップ毎にテストセットを最適化する際の柔軟性(我々はモジュールをどのくらいの頻度で再テストするか?)。
(6)一次の診断(スタックのどのモジュールが不良を含むか?)
テスト用のモジュールのバウンダリにおいて制御可能性及び観測可能性を提供するラッパユニット、及び、チップのプローブパッド若しくはピンからテスト用モジュールへ、及びその逆から逆へ、テストデータをトランスポートする(テストアクセスメカニズム(TAM)内に実装される)予め決定された/選択されたテストシグナルを、用いることによって、モジュラーテストは可能となる。
3D−SICは、テスト可能なダイスを含む。例えば、これは、スキャンテストデジタルロジック、(セルフテストに構築された)BIST埋め込み式メモリ、もしくはスキャンイネーブルアナログコアを含み得る。更に、ボードレベル相互接続テスティングに対して、全体のプロダクトは、その外部ピン上のIEEE1149.1準拠であり得る。テストの目的のための段階間の更なるTSVベースの相互接続が付加され得る(それら追加のTSVベースの相互接続は、相対的に手頃なものである。例えば、TSVsは10μmミニマムピッチで形成され得る。)
更に別の形態では、本発明は、テスト可能ダイスを設計するための方法を提供し、
該方法は、
前記ダイスのソフトウエア表示を受信するステップと、
テスト刺激を受信する第1のインプットポートとテストレスポンスを送信する第1のアウトプットポートであって、前記ダイスの同じ面に配置される第1のインプットポートと第1のアウトプットポートを追加することにより、
前記第1のインプットポートと前記第1のアウトプットポートの間にて前記ダイス内部にデータシグナルパスを設けることにより、
別のダイスに向けてテスト刺激を送信するための少なくとも一つの第2のアウトプットポート、及び、前記別のダイスからテストレスポンスを受信するための少なくとも一つの第2のインプットポートを追加することにより、及び、
前記第1のインプットポートと前記第2のアウトプットポートのうちの少なくとも一つの間にて前記ダイス内部にデータシグナルパスを設け、更に前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートの間にて前記ダイス内部にデータシグナルパスを設けることにより、
前記ソフトウエア表示を修正するステップと
を含む。
本発明の特定の及び好適な形態は、添付の独立の及び従属のクレーム内で設定される。従属請求項の特徴は、独立請求項の特徴及び他の従属請求項の特徴と、適宜、且つ、請求項に明白に設定されるに留まること無く、組み合わされ得る。
本発明、及び先行技術を凌駕して達成された利点を要約する目的で、本発明の目的及び利点を上述した。もちろん、当然のことながら、全ての目的若しくは利点は本発明の特定の実施形態により達成されたものではない。よって、例えば、本明細書で開示し若しくは示唆される他の目的若しくは利点を必ずしも達成することなく、本明細書に示す利点若しくは複数の利点に到達する若しくは最適化するようにして、本発明が実施若しくは実行され得る、ということを、当業者は認識するものである。
本発明の特定の実施形態が、添付の図面と併せて以下に記載されており、複数の図面において同じ符号は同じ要素を示すものである。
IEEE1149.1に基づく、チップのための先行技術のボードレベルテストアクセスアーキテクチャを示す図である。 IEEE1500に基づく、先行技術のSOCレベルテストアクセスアーキテクチャを示す図である。 本発明の実施形態に係る3DのDfTアーキテクチャを含むダイスのスタックの概念的概観である。 3D−SIC外部接続のための種々の選択を示す図である。 コアのための先行技術のIEEE1500ラッパを示す図である。 本発明の実施形態に係るダイスレベルラッパの概略図である。 本発明の実施形態に係る3D−SICテストアクセスアーキテクチャを示す図である。 本発明の実施形態に係る、可能なラッパバウンダリレジスタ(WBR)セルを示す図である。 本発明の実施形態に係るテストモードの第1の例を示す図である。 本発明の実施形態に係るテストモードの第2の例を示す図である。 本発明の実施形態に係るテストモードの第3の例を示す図である。 本発明の実施形態に係るIEEE1149.1ベースのラッパを示す図である。 モードセットアップを操作するための「レールロードダイヤグラム」である。 本発明の実施形態に係る、IEEE1149.1に基づく、ダイスに対する3D−SICのDfTアーキテクチャを示す図である。 本発明の実施の形態に係る、平坦なダイスの対する3DのIEEE1500ベースのラッパの実装を示す図である。 図15に示すラッパ内に実装されるパラレルプレボンドインテストターン・モードに対応するテストアクセスパスを示す図である。 図15に示すラッパ内に実装されるシリアルポストボンドエクステストエレベータ・モードに対応するテストアクセスパスを示す図である。 本発明の実施形態に係る、階層ダイスに対する3DのIEEE1500ベースのラッパの実装を示す図である。 本発明の実施形態に係る、階層WIRコントロールメカニズムの実装を示す図である。 本発明の実施形態に係る、複数のダイスタワーを含むスタックを示す図である。 本発明の一つの実施形態に係る、図20のダイススタック内のWIR接続を示す図である。 本発明の別の実施形態に係る、図21のダイススタック内のWIR接続を示す図である。 本発明の更に別の実施形態に係る、図21のダイススタック内のWIR接続を示す図である。 ダイスがプレボンド内にあるのかスタック構成内にあるのかを自動的に検知する本発明の実施形態に係る回路の例示である。 ダイスがプレボンド内にあるのかスタック構成内にあるのかを自動的に検知する本発明の実施形態に係る回路の例示である。 ダイスがプレボンド内にあるのかスタック構成内にあるのかを自動的に検知する本発明の実施形態に係る回路の例示である。 ダイスがプレボンド内にあるのかスタック構成内にあるのかを自動的に検知する本発明の実施形態に係る回路の例示である。 ダイスがプレボンド内にあるのかスタック構成内にあるのかを自動的に検知する本発明の実施形態に係る回路の例示である。 ダイスがプレボンド内にあるのかスタック構成内にあるのかを自動的に検知する本発明の実施形態に係る回路の例示である。 ダイスがプレボンド内にあるのかスタック構成内にあるのかを自動的に検知する本発明の実施形態に係る回路の例示である。 2つのタワーを有する3D−SICのための、本発明の実施形態に係るテストアーキテクチャを示す図である。 埋め込み式コアを含む階層SOCダイスのための、WSIとWSOの間のラッパチェーン構成を示す図である。 埋め込み式コア及びk=2のタワーを伴う階層SOCのための、モードセットアップを操作するための「レールロードダイヤグラム」である。
本発明について、特定の実施形態に関して図面を参照しつつ説明するが、本発明はそれらに限定されるものではない。記載した図面は模式的なものに過ぎず限定的なものではない。図面では、例示の目的のために、幾つかの要素のサイズは誇張されており、スケールに基づいては描かれていない。
更に、明細書における第1、第2、第3等の用語は、類似の要素間で区別をするために用いられるのであり、順番若しくは時系列順を記載するのに用いられるものとは限らない。これら用語は適当な条件下では相互に交換可能であり、本発明の実施形態は、本明細書に記載の若しくは例示の順序以外の順序にて、動作可能である。
更に、明細書における頂部、底部、上、下等の用語は、記載の目的のために用いられるのであり、相対的な位置を記載するのに用いられるものとは限らない。このように用いられる用語は適当な条件下では相互に交換可能であり、本明細書に記載の本発明の実施形態は、本明細書に記載の若しくは例示の方向以外の方向にて、動作可能である。
「含む」という用語は、後に示すような意味に限定して解釈されるべきではない。他の要素若しくはステップを排除するものではない。記述の特徴、整数、ステップ、若しくは言及されるコンポーネントの存在を規定するように解釈される必要があるが、一つ又はそれ以上の他の特徴、整数、ステップ若しくはコンポーネント又はそれらのグループの存在又は追加を排除するものではない。よって、「手段A及びBを含むデバイス」という表現の範囲は、コンポーネントA及びBのみからなるデバイスに限定されるべきではない。本発明に関して、デバイスの最適の関連するコンポーネントがA及びBである、ということを意味する。新たなプロセス技術の発展によって、スルー基板ビア(TSV)により相互接続される3次元積層IC(3D−SIC)の創作が可能となっている。本発明の一つの実施形態は、プレボンドダイステスティング及びポストボンドスタックテスティングの両方を可能にする3D−SICのための、テストのためのデバイス(DfT)テストアクセスアーキテクチャに関連するものである。
本発明の実施形態に係るDfTアーキテクチャは、全体のスタックが一つのモノリシックのエンティティとしてテストされるテストとは異なり、モジュラーテストアプローチに基づくものである。本発明の実施形態に係るモジュラーテストアプローチでは、種々のダイス、それらの埋め込み式のIPコア、ダイス間のTSVベースの相互接続、及び外部I/Oは、3D−SICテストフローの最適化を可能にするために、独立のユニットとしてテストされ得る。3D−SICのためのモジュラーテスティングは、以下のような利点を有する。
(1)異種プロダクトの種々のモジュールのための異なるテスト。
(2)ブラックボックスIPのテスト。
(3)分割統治テスト生成及び利用。
(4)テスト再利用。
(5)テストフローのステップ毎にテストセットを最適化する際の柔軟性(我々はモジュールをどのくらいの頻度で再テストするか?)。
(6)一次の診断(スタックのどのモジュールが不良を含むか?)。
多数の会社が、単体の3D−SICの製造に貢献する可能性を考慮すると、後者は一層重要である。本発明の実施形態においては、テスト用のモジュールのバウンダリにおいて制御可能性及び観測可能性を提供するラッパユニットを含むDfTアーキテクチャによって、及び、チップのプローブパッド若しくはピンからテスト用モジュールへ、及びその逆から逆へ、テストデータをトランスポートするテストアクセスメカニズム(TAM)によって、モジュラーテストアプローチは可能となる。ラッパユニットは、スタック内の個別のダイスへのテストアクセスを提供するように調整されたテスト構造である。
本発明の実施形態に係るアーキテクチャは、コア、ダイス及びプロダクトレベルにおける現存のDfTハードウエア上に構築し、それらを再利用し得るものである。このことは、基板の、例えば、シリコンの、エリアを最小化する。テストアクセスは、ラッパと称するテストストラクチャを介して個別のダイススタックに為される。ラッパは、ダイスのバウンダリにて存在し、ダイスをテストする途、ダイスと完全なスタックとの間の相互接続を与える。それはダイスレベルのラッパを付加し、一つ若しくはそれ以上の以下の特徴を付加するものである。
(1)プレボンドダイステストを促進するための、非底部のダイス上の拡張性のある数の専用プローブパッド。
(2)ポストボンドスタックテスティングの間に、テストコントロール及びデータシグナルをトランスポートするための、一つのダイスから別のダイスへの、ダイス近傍の、テストエレベータとも称される、シグナルパス。このテストエレベータは非頂部ダイス上で、より高いダイスに向かってのテストインタフェースのイメージのミラーリングを可能にする。
(3)ダイスの一つの側部にてダイスに出入りするシグナルパスとのテストインタフェースを提供する、ダイス内部の、テストターンとも称する、シングルパス。
(4)命令及びデータに対するシリアル(1ビット)テストアクセスメカニズム、並びに、選択により、高帯域幅データに対するパラレル(nビット)テストアクセスメカニズム。及び/又は、
(5)階層ラッパ命令レジスタ(WIR)チェーン。
本発明の実施形態に係る3D DfTアーキテクチャは、図3の概念的概観図に示される。3D DfTアーキテクチャ30は、協働するダイスレベルのテストラッパ31のセット含み、スタック内で、個々のダイスであるダイス1、ダイス2、ダイス3に対して、1つのテストラッパ31がある。図3は、3つのダイスから成る例示のスタックを示す。しかしながら、これは本発明を限定するものではない。3つのダイス、ダイス1、ダイス2、ダイス3の機能I/Oは、ダイスの底部の中央に見られ得る。底部ダイスの底部の中央ではダイス1が外部I/O(「ピン」)である。ダイスは機能TSV33により相互接続されている。例示の実施形態では、ダイスであるダイス1、ダイス2、ダイス3の内部の個々のコアであるコア1.1、コア1.2、コア1.3、コア2.1、コア2.2、コア3は、従来の既存のテスト用設計インフラストラクチャ34が設けられる。全て底部ダイスのダイス1内に配置される、スタックの外部I/O32は、例示としてのみ、IEEE1149.1バウンダリスキャンによりラップされる。これにより、限定数の更なるピン35が要求されるが、そのうち2本(TDI及びTDO)が示される。更に、ダイスは、内部スキャンチェーンにより例示される現存のイントラダイスDfT、テストデータコンプレッション(TDC)、ビルトインセルフテスト(BIST)、IEEE1500準拠のコアラッパ、及び/又はテストアクセスメカニズム(TAM)を有する。
スタック内のダイス周りのテストラッパ31は、本発明の実施形態に係る3D DfTテストアーキテクチャの部分を形成する。ダイスレベルラッパ31の主たる特徴は、(1)テストデータを底部ダイス内の外部ピンにフィードし戻すように調整された、あらゆるダイス内のテストターン36、及び/又は、(2)スタックを介してテストシグナルを上下に伝播するように調整された、ダイス間のテストエレベータ37、である。本発明の実施形態に係るダイスレベルラッパ31の補助的で選択的な特徴は、(3)ラッパ命令及び低帯域幅データを受信し及び/又は送信するための、より高い帯域幅のテストデータを受信し及び/又は送信する拡張性のパラレルインタフェースにより選択的に補完される、シリアルインタフェース、(4)非底部のダイスのプレボンドダイステスティングを可能にするための、非底部ダイス上の、好ましくは全ての非底部ダイス上の、専用プローブパッドの拡張性のある数の専用プローブパッド38、及び/又は(5)個々のダイスのテストモードをコントロールするための、更には特定のダイス内部の埋め込み可能なコアをコントロールするために選択的に開発される、階層テストコントロールメカニズム、である。
本発明の実施形態に係るアーキテクチャは、その設計パラメータが、コア、ダイス、及びスタックパラメータを変動するために最適化され得るという意味において、拡張可能である。現在までに公開された先行技術は、現存のDfT標準及びテストアクセスアーキテクチャがどのように利用され得るかを特定していなかった。
アクセスメカニズムは、機能アクセス及び/又はテストアクセスを提供し得る。通常、これは、シグナルがコア(若しくはダイス)へ及びコア(若しくはダイス)から、いずれかが埋め込み式回路から、又はシステムチップの初期のインプット及びアウトプットから、伝播され得る、メカニズムである。テストアクセスメカニズムは、通常、コア(若しくはダイス)若しくはラッパへの又はコア(若しくはダイス)若しくはラッパからの、テストデータのデリバリを可能にするシステムオンチップ(SoC)設計の特徴である。
一つの実施形態では、3つのタイプの3D−SICが考慮されている。(3段階のスタックのためのこの場合では)これらのタイプの例が図4に示される。3つのタイプは、外界との接続(「ピン」)が異なる。(a)頂部ダイスからのワイヤボンド、(b)底部ダイスからのワイヤボンド、及び(c)底部ダイスからのフリップチップ接続である。4つのタイプ全ては、極致の段階(頂部若しくは底部)の一つの一面のみが外部接続の全てを保持する、という点で共通する。開示の残余では、単純化のためにのみ、外部接続の全ては底部ダイス内である、と仮定されている。この仮定は一般性を失うものではない。常時、頂部及び底部ダイスへの言及を交換できるからである。よって、本発明の実施形態においては、外部接続を含むスタック内のそのダイスが、底部ダイスと称される。
一つの実施形態では、3D−SICのための提示のテストアクセスアーキテクチャは、ダイスレベルラッパに基づくものであり、テストラッパユニットと称される。例示として、このダイステストラッパユニットは、現存のDfT標準に基づき得るものであり、例えば、それは、IEEE1500若しくはIEEE1149.1の拡張バージョンであってもよい。本発明の実施形態に係るテストアーキテクチャは、複数のテストラッパユニットを含み、各々のテストラッパユニットはスタック内のダイスの一つと関連する。アクセス可能性の理由のために、テストラッパユニットは、ダイスのバウンダリにて存在してもよい。ダイスレベルテストラッパユニットは、スタック内の他のダイスに、一貫性のある外部インタフェースを提供し、ダイス内部では、現存の機能回路及び正規のイントラダイスDfTに接続する。アーキテクチャは、既存の機能相互接続に加えて、ダイス間の、限定された拡張性のある数の専用TSVベースの相互接続を利用し得る。
本発明の実施形態はダイスを含み、該ダイスは、そのダイスをテストするための、及び/又は、ダイスが積層される際、そのダイスと近接のダイスとの間の相互接続をテストするための、テスト回路(テストラッパユニット)を含む。テスト回路は、テスト刺激を受信するための第1のインプットポートと、テストレスポンスを出力するための第1のアウトプットポートとを含み、該第1のインプットポート及び第1のアウトプットポートはダイスの同じ面に位置し、該第1のインプットポートと該第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがあり、更に、別のダイスに向かってテスト刺激を送信するための少なくとも一つの第2のアウトプットポートと、その別のダイスからテストレスポンスを入力するための少なくとも一つの第2のアウトプットポートがあり、該第1のインプットポートとその少なくとも一つの第2のアウトプットポートとの間にてダイス内部にはデータシグナルパスがあり、その少なくとも一つの第2のアウトプットポートと該第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがある。
IEEE標準1500は、SOC内の埋め込みコアのためのテストラッパを標準化する。図5は、IEEE準拠のラッパの概念的概観図を示す。図5のラッパは2つのテストアクセスポートを有する。シングルビット(シリアル)ポートWSI−WSOは必須のものであり、低帯域幅テストデータに加えて、ローディングのラッパ命令の両方に、用いられる。選択的な、拡張性のある(パラレル)ポートWPI−WPOは、より高い帯域幅のテストデータを坦持できる。ラッパ命令レジスタ(WIR)内にシフトされる、擬似静的ラッパ命令と、ラッパシリアルコントロール(WSC)シグナルの値との組み合わせは、ラッパの動作を決定する。ラッパは、埋め込み式のコア自身をテストするための内部直面テストモード(「インテスト」)を有し、更に、埋め込み式のコアの外部の回路をテストするための外部直面テストモード(「エクステスト」)を有する。両方のモードにて、ラッパバウンダリレジスタ(WBR)は、刺激を利用しレスポンスをキャプチャするようにアクティブにされる。ラッパは、例えば、SOC内で別のコアをテストするように、その「バイパス」モードをアクティブにすることもできる。
図6は、スタックの中央における任意のダイスxのための、本発明の実施形態に係る、DfTストラクチャ及び更なる相互接続の概念的概観図を示す。ここで、ダイスxは、底部ダイスでも頂部ダイスでもない。図面は、機能回路及び相互接続から抽出されており、DfTストラクチャのみを示す。
それは、2つの内部スキャンチェーン40、41、コアベースのSOC設計のためのTAM、及び/又はBISTのロジック若しくはメモリを示し、2つの内部スキャンチェーン40、41は可能なダイス内部DfTを代表するものであり、モノシリックデザインのためのどの数のスキャンチェーンでもよい。ダイスxには、標準テストラッパが設けられる。図示した実施形態には、埋め込み式IPコアと通常は直面するIEEE1500のようなラッパが、例示に過ぎないが、備わる。図面は、そのダイスレベルラッパの従来のEEE1500の特徴を示す。即ち、7ビットラッパシリアルコントロール(WSC)42、ラッパ命令レジスタ(WIR)43、ラッパバウンダリレジスタ(WBR)44、命令及び低帯域幅テストデータに対するシリアルWSI−WSOインタフェース45、並びに、テストデータのためのパラレルWOI−WPOインタフェース46、である。当然ながら、標準テストラッパの全体インタフェース、例えば、全体IEEE1500インタフェースが、ダイスの底部面に配置されるのが好ましい。
一つの実施形態では、本発明の実施形態に係るダイスレベルラッパは、図5に示すような、以下の3D−SIC固有の特徴を有する。
1.標準テストラッパ、例えば、IEEE1500(WSC、WSI、WSO、WPI及びWPO)のコントロール及びデータシグナルは、ポストボンドスタックテスティングのために、TSVベースの相互接続を介してダイスxの下のダイスから/へ、ダイスxへ入りダイスxから出てゆく。本発明の実施形態に係るポストボンドスタックテスティングのためのテストアクセスは、底部ダイスを介してのみ可能である。このために、テストコントロール及びテストデータのためのシグナルパス47、48は、Uターンタイプの形状を有する。それらは本明細書ではテストターンとも称される。このために、ダイスxには、テスト刺激を受信するための第1のインプットポートと、テストレスポンスを出力するための第1のアウトプットポートとが設けられ、該第1のインプットポートと第1のアウトプットポートはダイスの同一の面に配置され、該第1のインプットポートと該第1のアウトプットポートとの間にてダイス内部にはデータシグナルパス47、48がある。WSO及びWSIに向かうアウトプットパス内で、パイプラインレジスタは、クリーンタイミングインタフェースのために挿入され得、このことは、多数のダイスが積層されるならば特に利点と成り得る。
2.標準テストラッパ、例えば、IEEE1500のコントロール及びデータシグナルは、(「stack」を示す)文字「s」を末尾に付した、WSCs、WSIs、WSOs、WPIs、及びWPOsの識別名を伴うシグナルのセットを介して、ダイスxの上のダイスに、転送され得る。シグナルパス50は、本明細書ではテストエレベータとも称し、全てダイスの頂部面上に配置される。テストエレベータは、TSVを含む新しいタイプのDfTハードウエアを含む。それらは、スタック内でより高いダイスに到達するために用いられる。テストエレベータは、ポストボンドスタックテスティングの間に、テストコントロール及びデータシグナルを上下にトランスポートするために用いられる。このために、ダイスであるダイスxは、テスト刺激を別のダイスに送信するための少なくとも一つの第2のアウトプットポートと、テストレスポンスをその別のダイスから入力するための少なくとも一つの第2のインプットポートとが備わり、該第1のインプットポートとその少なくとも一つの第2のアウトプットポートとの間にてダイス内部にはデータシグナルパスがあり、その少なくとも一つの第2のインプットポートと該第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがある。
3.本発明の特定の実施形態では、標準テストラッパ、例えば、IEEE1500(WSC、WSI、WSO、WPI、及びWPO)のコントロール及びデータシグナルパスは、プレボンドダイステスティングを促進するための専用プローブパッド49が備わる。これらのプローブパッドは、シリアルインタフェース(WSC,WSI−WSO)上では特に所望され、パラレルインタフェース(WPI−WPO)上では任意のものであり拡張性もある。底部から来るパラレルWPI−WPOインタフェースがnビット幅(n≧0)ならば、対応するプローブパッドインタフェースは、mビット幅(0≦m≦n)でよい。図6では、図面のレイアウトを簡易にするために、これらのプローブパッド49は底部面上に描かれている。しかしながら、そのことは、これらプローブパッド49がダイスの底部面に物理的に位置する必要があるということを示すものではない。当然ながら、パラレルインタフェースWPI−WPOの幅は、TSV相互接続(n)とプローブパッド(m)とに対して、別途選択されてもよい。
4.抑制のない長さのWIRチェーンを回避するために、本発明の特定の実施形態に従って、階層WIRチェーンが実装されてもよい。このことは更に記載されており、図9に示されている。IEEE1500内に実装されるWIRの連結により、WIRチェーン長の総計は、スタック内のダイスの数、ダイス毎にWIRsを伴う埋め込み式コアの数、及び種々のWIR命令の合計に、依存することとなる。3D−SICのためのWIRチェーンの全体長が抑制無く成長することを回避するべく、本発明の実施形態に係るダイスレベルWIRsには、そのダイス内部でコアレベルWIRをバイパスできるコントロールビットが設けられてもよい。ハーモニカと類似して必要とされて開発されるこの階層WIRメカニズムは、図9に示される。
図7は、3つのダイスのスタックのための、本発明の実施形態に係るIEEEベースのダイスラッパを伴う3D DfTアーキテクチャを示す。WSCコントロールシグナルは、全てのダイスにブロードキャストされる。シリアル及びパラレルメカニズムは、スタック全体に亘って、デイジーチェーンされる。
中央のダイスは、上記に設定したように本発明の実施形態に係るラッパを有する。頂部ダイスと底部ダイスとに対するダイスラッパは、僅かに異なる。
(図6に示す例示のダイス1のような)底部ダイスのDfTは、以下の点において、(図6に示す例示のダイスxのような)中央のダイス内のDfTと異なる。
・専用プレボンドプローブパッドが要求されない。代わりに、機能外部I/Oパッドがプローブアクセスのために用いられ得る。
・ボードレベルテスティングを促進してボードレベルテスト及びデバッグポートを設けるべく、底部ダイスには、標準テストラッパ、例えば、IEEE1149.1が備わってもよい。JTAGバウンダリスキャンチェーンは、3D−SICプロダクトの外部I/Osの全てを含んでもよい。
・シリアルIEEE1500インタフェース(WSC、WSI及びWSO)は、IEEE1149.1テストアクセスポート(TAP)上へマルチプレクスされ得る。これは、別途、専用パッドを省くものであり、3D−SICがPCB上に半田付けされても3Dテストアクセスアーキテクチャをアクセス可能とする。
・2D−SIC内のスキャンチェーン及びパラレルTAMに対して共通するものと同様に、パラレルIEEE1500インタフェース(WPI及びWPO)は、機能外部I/Oパッド上にマルチプレクスされ得る。これは、別途、専用パッドを省くものであるが、テストエレベータ幅を、利用可能な機能I/Oに限定する。
(図6に示す例示のダイス3のような)頂部ダイスのDfTは、以下の点において、(図6に示す例示のダイスxのような)中央のダイス内のDfTと異なる。
・ダイスは、頂部ダイスのような、更により高いレベルのダイスへのTSVベースの相互接続を有さない。従って、頂部ダイスには、少なくとも一つの第2のアウトプットポートと少なくとも一つのインプットポートを設ける必要は無い。従って、頂部面のテストエレベータWSCs、WSIs、WSOs、WPIs、及びWPOは無くてもよい。
本発明の実施の形態において、テスティングは、ダイスをスタックする前に、及び/又は、後に、行ってもよい。そのようなテストは、夫々、プレボンドテスト及びポストボンドテストと称される。テスト刺激を利用してテストレスポンスを観測するために、両方のタイプのテストに対してテストアクセスが必要とされる。しかしながら、プレボンド及びポストボンドテスティングのためのテストアクセスは、際立って異なる。
スタックの底部ダイスとなることが意図されるダイスのプレボンドテスティングに対しては、機能I/Oが利用され得る。しかしながら、ワイヤボンド若しくはフリップチップバンプのための外部I/O接続のみが従来のプローブ機器によって精査するのに十分に大きいので、スタックの中央若しくは頂部に適合するように意図される他のダイスは、従来のプローブポイントを有しなくてもよい。ある実施形態では、それらの機能接続はTSVのみを介するのであり、TSVチップ及びランディングパッドは、精査されるには小さ過ぎるものであり、損傷を調べるには余りに多数であり余りに傷つきやすい。従って、プレボンドテスティングに対しては、本発明の実施形態において、ダイスは、プレボンドテスティングのための更なる専用プローブパッドが設けられてもよい。
ポストボンドテスティングに対しては、スタックの中央及び頂部ダイス上の、前述の更なる専用プローブパッドはもはや用いられ得ない。ダイススタックが一旦形成されると物理的にアクセスできないからである。本発明の実施形態においては、テストアクセスは、底部ダイスの従来の外部I/O接続を介して進み、そしてテストデータを上下方向に運ぶ(再利用の若しくは専用の)TSVを介して進む。
よって、本発明の実施形態に係るスタック内の個々の非底部ダイスは、2つの独立の入口及び出口ポイント(専用プローブパッド及びTSV)を伴う、(ラッパ、TAM、スキャンチェーン等を含む)テストアクセスアーキテクチャを有する。
選択コントロールシグナルは、これら2つのアクセスポイントの一つを利用する2つのテストコンフィグレーションモードの間でスイッチするように、設けられる必要がある。これは、全体テストの間安定を維持する擬似静的テストモードコンフィグレーションシグナルである。このような擬似静的モードコンフィグレーションシグナルは、通常、ダイスレベルWIR(IEEE1500)若しくはダイスレベルIR(IEEE1149.1)のアウトプットとして設けられる。しかしながら、(W)IR命令もこれら2つのエントリポイントの一つからロードされる。WIR(IR)に対するWSI(TDI)インプットもパッド若しくはTSVを介して来る。従って、シグナルは、(W)IR自身からは得られず、別のどこかから来る必要がある。
本発明の実施形態においては、ダイスは更に、ダイスがプレボンド状況とポストボンド状況とのいずれにあるのかを自動的に検知しオンチッププレボンド/ポストボンド選択シグナル信号を然るべく生成する、小さい非侵入型回路を含む。
第1の実装において、そのような回路が図24に示される。それは、2つのダイスであるダイス1及びダイス2を示す。ダイス1では、ハードロジック「1」が実装され、ダイス2では、プルダウン240が実装されている。ダイス2がスタンドアローンである(プレボンディングである)ならば、シグナルがTSV241に印加されることはなく、プルダウン240はアウトプットシグナルのポストボンドプレボンドNをロジック「0」にプルダウンする。このことは、ダイス2がプレボンド構成にあることを示す。一方、ダイス1とダイス2がスタックされるならば、ハードロジック「1」がTSV241に印加される。プルダウン240に拘らず、アウトプットシグナルのポストボンドプレボンドNは、ロジック「1」となる。このことは、ダイス2がスタック構成にあることを示す。
別の実装が図25に示され、これは図24のものと類似するが、ダイス1ではハードロジック「0」が実装される。ダイス2では、プルアップ250が実装されている。ダイス2がスタンドアローンである(プレボンディングである)ならば、シグナルがTSV241に印加されることはなく、プルアップ250はアウトプットシグナルのポストボンドプレボンドNをロジック「1」にプルアップする。このことは、ダイス2がプレボンド構成にあることを示す。ダイス1とダイス2が共にスタックされているならば、ハードロジック「0」がTSV241に印加される。プルアップ250に拘らず、アウトプットシグナルのポストボンドプレボンドNは、ロジック「0」となる。このことは、ダイス2がスタック構成にあることを示す。
図24及び図25の実装は、専用TSVベースの相互接続を用いる。コストは、1つの専用の相互接続及び検知回路に限定される。
ダイスがプレボンド構成とポストボンド構成とのいずれにあるのかを自動的に検知し選択シグナル信号を然るべく生成する、回路の別の実装が、図26と図27に示される。これらの場合、コントロールシグナルは、再利用のパワーTSV261から引き出される。プレボンドシグナルは、プルダウン261若しくはプルアップ270によって生成されるが、これは、ダイス1とダイス2との間のボンドが確立される場合、夫々ハードVDD若しくはGNDにより覆され、よって、高シグナル若しくは低シグナルとなる。
ダイスがプレボンド構成とポストボンド構成とのいずれにあるのかを自動的に検知し選択シグナル信号を然るべく生成する、回路の更に別の実装が、図28と図29に示される。これらの場合、コントロールシグナルは、再利用のパワーパッド280から引き出される。プレボンドシグナルは、プルダウン281若しくはプルアップ290によって生成されるが、これは、パッド280が精査される場合、よって、ダイス1とダイス2との間のボンドが未だ確立されていない場合、夫々ハードVDD若しくはGNDにより覆され、よって、高シグナル若しくは低シグナルとなる。
別の実装が図30に示される。一つ以外の全てのパワー及びグラウンドラインに対して、同じラインに対するパッド300及びTSV301が電気的に接続されている。図に示す実施形態では、一つの専用VDDインプット、パッド302とTSV303の両方は、検知回路、例えば、図28に示す検知回路に、連結される。他の実施形態では、それは、例えば、検知回路、例えば、図29に示される検知回路に連結される一つの専用GNDインプットでもよい。更に、全てのシグナルパッド304及びシグナルTSV305について、マルチプレクサ306が、スタンドアローンダイスとしてのダイス2の構成(プレボンド)か、スタック内のダイスとしてのダイス2の構成かに依存する、シグナルパッド304とシグナルTSV305の間の電気的選択のために設けられる。図30に示す実施形態では、本発明の実施形態に係る検知回路、例えば、図28のような検知回路から、選択コントロールシグナルプレボンドポストボンドNが得られる。
図7は、3つのダイスを含む例示の3D−SICのためのテストアクセスアーキテクチャを示す。ダイス1、ダイス2及びダイス3は、夫々、スタックの底部、中央及び頂部のダイスである。図示の簡素化のために、ダイスは、垂直階層としてではなく、相互に隣接して示されている。
このテストアクセスアーキテクチャは、スタック内の個々の(非底部の)ダイスにて、(7+2+2m)個の専用プローブパッドを要求するものである。パラレルTAMはIEEE1500では任意のものであるから、mはゼロでもよいことに留意すべきである。専用プローブパッド49のこの数は、パワー、グラウンド、クロックなどのために要求される基盤パッドの全てにより、拡張される必要がある。適切な操作のためにはそれらの存在は不可欠であることが明白ではあるが、これらは図7に示されていない。
IEEE1500により、そのWBR44内で種々のタイプのラッパが可能である。2D−SOC内の埋め込み式コアは通常、図8(a)に示すセルを利用する。それは、単体のフリップフロップ61のみを含み、よって、基板の、例えば、シリコンの面積を殆ど占めない。提示の3D−SICダイスレベルラッパのWBRチェーンに対して、(IEEE1500準拠でもある)ダブルフリップフロップラッパセルが図8(b)に示すように用いられ得る。このラッパセルは2つのフリップフロップ62、63を含む。追加のフリップフロップを用いることで、このラッパセルは、シフトモードの間にリップル保護を行うものであり、特に種々のダイスが異なるソースから来るのであり、シフト間のリップルがダイス間のインタフェースにて望まれざるシグナルの組み合わせになるのであれば、該リップル保護は適切なものである。
命令をダイスレベルのラッパのWIR43内にロードすることは、2D−SOCsのIEEE1500準拠のコアから周知であるものに、相当する。新しい命令がWIR43内にシフトされても、従前の命令は有効なままである。適所に完全に到着したときのみ、新しい命令はUPDATEWRシグナルをパルス化することにより、アクティブにされる。IEEE1500では、多重IPコアのWIRsは単体のWIRチェーン内で連結されるものであり、これにより、様々なコアが様々な命令をロードし得ることになる。3D−SICsに対して、単体の連結WIRチェーンは、特に、個別のダイスがそれら自身の連結チェーンセグメントを伴うコアベースのSOCである場合に、非常に冗長となる。従って、本発明の実施形態においては、階層WIRメカニズムが用いられてもよく、ハーモニカと類似して必要とされて開発される。最初に、WIRチェーンはダイスレベルWIRs43のみを含む。ダイスレベル命令がロードされると、(例えば、インテスト(InTest)命令の一つが与えられて)対応するコントロールビットがセットされたダイスのみのための全体のWIRチェーン内に、コアレベルWIRチェーンセグメント70、71が含まれる。続いて、更なるコアレベルWIR命令がロードされ得る。図9は、例示によりこの概念を模式的に示す。点線の矢印は、アクティブWIRチェーンを強調するものである。この例では、ダイス2及びダイス3はインテスト(InTest)モードにあり、WIRチェーンは、それらのコアのWIRs70、71、夫々WIRC+WIRD及びWIRE+WIRFも含む。この階層WIRの利点は、WIRチェーン長の際限の無い成長を回避することにある。いずれにせよ、WIRは必要な長さとしかならない。ユーザが現下のWIRチェーン長のトラックと、命令をロードするためのより複雑な手続とを維持するための要求分が、コストとなる。
図10及び図11は、隣接するダイスが異なる動作モードにある、本発明の実施形態に係る3D−SICの2つの例を示す。図10では、ダイス(x−1)は、そのパラレルポストボンドバイパスエレベータモードにあり、ダイスxはそのパラレルポストボンドインテストターンモードにある。このことは、ダイスxが現下テスト中であり、テストデータがダイス(x−1)を介してスタック内を上下して通過する、ということを意味する。図面の点線の矢印及び丸印付のデータレジスタは、テストデータフローを強調するものである。
図11では、ダイス(x−1)は、そのパラレルポストボンドエクステストエレベータモードにあり、ダイスxはそのパラレルポストボンドエクステストターンモードにある。このことは、ダイス(x−1)とダイスxとの間のTSVベースの相互接続が現下テスト中であることを意味する。図面の点線の矢印及び丸印付のデータレジスタは、テストデータフローを強調するものである。
IEEE標準1149.1は、PCB上のチップに対するテストラッパを標準化する。図1は、IEEE1149.1準拠ラッパの概念的概観図を示す。IEEE1500ラッパとIEEE1149.1ラッパが大きい共通性を有することを、この図は示す。しかし、複数の顕著な差異も存在する。
−IEEE1149.1はシリアルメカニズムを有するに過ぎず、より高い帯域幅のパラレルテストアクセスメカニズムを欠く。
−IEEE1500の6ビット(若しくは選択として7ビット)WSCコントロールポートの代わりに、IEEE1149.1は、シグナルTCK、TMS、及び選択としてTRSTNを含む、2ビット(若しくは選択として3ビット)コントロールビットを有する。内部的に、TAPコントローラという名の16ステートの有限状態機械を介して段階を重ねることにより、更なるコントロールシグナルが生成される。
IEEE1149.1チップラッパは、本発明の実施形態に係る3D−SICのためのダイスレベルラッパを形成するために、用いられ拡張され得る。図12は、IEEE1149.1に基づく、本発明の実施形態に係る3D拡張ダイスラッパを示す。3D拡張は、以下の点のうちの一つ若しくはそれ以上を含む。
1.標準テストラッパ、例えば、IEEE1149.1(TCK、TMS、TDI、TDO、TPI及びTPO)のコントロール及びデータシグナルは、ポストボンドスタックテスティングのために、TSVベースの相互接続を介してダイスxの下のダイスから/へ、ダイスxへ入りダイスxから出てゆく。本発明の実施形態に係るポストボンドスタックテスティングのためのテストアクセスは、底部ダイスを介してのみ可能である。このために、テストコントロール及びテストデータのためのシグナルパス47、48は、Uターンタイプの形状を有する。それらは本明細書ではテストターンとも称される。このために、ダイスxには、テスト刺激を受信するための第1のインプットポートと、テストレスポンスを出力するための第1のアウトプットポートとが設けられ、該第1のインプットポートと第1のアウトプットポートはダイスの同一の面に配置され、該第1のインプットポートと該第1のアウトプットポートとの間にてダイス内部にはデータシグナルパス47、48がある。TDO及びTPOに向かうアウトプットパス内で、パイプラインレジスタは、クリーンタイミングインタフェースのために挿入され得、このことは、多数のダイスが積層されるならば特に利点と成り得る。
2.標準テストラッパ、例えば、IEEE1149.1のコントロール及びデータシグナルは、(「stack」を示す)文字「s」を末尾に付した、TCKs、TMSs、TDIs、TDOs、TPI及びTPOsの識別名を伴うシグナルのセットを介して、ダイスxの上のダイスに、転送され得る。シグナルパス50は、本明細書ではテストエレベータとも称し、全てダイスの頂部面上に配置される。テストエレベータは、TSVを含む新しいタイプのDfTハードウエアを含む。それらは、スタック内でより高いダイスに到達するために用いられる。テストエレベータは、ポストボンドスタックテスティングの間に、テストコントロール及びデータシグナルを上下にトランスポートするために用いられる。このために、ダイスであるダイスxは、テスト刺激を別のダイスに送信するための少なくとも一つの第2のアウトプットポートと、テストレスポンスをその別のダイスから入力するための少なくとも一つの第2のインプットポートとが備わり、該第1のインプットポートとその少なくとも一つの第2のアウトプットポートとの間にてダイス内部にはデータシグナルパスがあり、その少なくとも一つの第2のインプットポートと該第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがある。
3.本発明の特定の実施形態では、標準テストラッパ、例えば、IEEE1149.1(TCK、TMS、TDI、TDO、TPI、及びTPO)のコントロール及びデータシグナルパスは、プレボンドダイステスティングを促進するための専用プローブパッド49が備わる。これらのプローブパッドは、シリアルインタフェース(TCK、TMS、TDI−TDO)上では特に所望され、パラレルインタフェース(TPI−TPO)上では任意のものであり拡張性もある。底部から来るパラレルTPI−TPOインタフェースがnビット幅(n≧0)ならば、対応するプローブパッドインタフェースは、mビット幅(0≦m≦n)でよい。図12では、図面のレイアウトを簡易にするために、これらのプローブパッド49は底部面上に描かれている。しかしながら、そのことは、これらプローブパッド49がダイスの底部面に物理的に位置する必要があるということを示すものではない。当然ながら、パラレルインタフェースTPI−TPOの幅は、TSV相互接続(n)とプローブパッド(m)とに対して、別途選択されてもよい。
4.本発明の特定の実施形態では、ダイスの回路の実効的な効用量のテスティングをサポートするために、ユーザ定義の幅nのパラレルの、拡張性のあるテストポートが設けられる。
この実施形態では、更なる実装の努力無くして階層WIRは達成される。通常のSOCの実装では、チップレベルIEEE1149.1命令レジスタ(IR)とコアレベルIEEE1500WIRの間の階層関係も既に存在する。
図13は、3つのダイスのためのIEEE1149.1ベースのダイスラッパを伴う、本発明の実施形態に係る3D DfTアーキテクチャを示す。この実施形態に係るアーキテクチャは、図7に示され図7に関連して記載されるものと、類似性が大きい。実際、主たる差異は、ブロードキャストコントロールシグナルの数及び機能(6/7ビットWSC対2/3ビットTCK/TMS/TRSTN)及びTAPコントローラのIEEE1149.1内の存在に過ぎない。
ボードレベル相互接続テスティングを越えて、シリコン及びソフトウエアデバッグ、エミュレーション、回路内プログラミングなどの目的のための、IEEE1149.1の別途の利用が多数存在する。これらの利用例は、大きいハードウエア及びソフトウエア基盤を有し、それは、IEEE1149.1ストラクチャの存在に依存するものである。本発明の実施形態におけるように、IEEE1149.1上で3Dダイスレベルラッパを基準とすることの潜在的な利点は、この基盤が3D−SICsに対しても、動作可能性を維持することである。
機能モードの他に、本発明の実施形態に係るテストアーキテクチャは複数のテストモードをサポートする。図14は、左から右へこのいわゆる「レールロードダイヤグラム」を横切ることにより、ラッパセッティングのどの組み合わせが為され得るのかを示す。全体で16テストモードが可能である。4個がプレボンドケースであり、12個がポストボンドケースである。以下のセッティングが定義できる。
・シリアル/パラレル−シリアル若しくはパラレルテストインタフェースを介して、個々における、非テスト対テストモード。
・プレボンド/ポストボンド−専用テストパッド若しくはテストエレベータの利用。
・バイパス/インテスト/エクステスト−選択されたテストデータレジスタ:バイパス、全チェーン、若しくはWBRチェーンのみ。
・ターン/エレベータ−テストターンを介して直接底部ダイスに向かって、このダイスからのテストレスポンスが供給される、又は、テストエレベータを介して、このダイスからのテストレスポンスが上にトランスポートされ若しくはより高レベルのダイスからのレスポンスが下にトランスポートされる。
このことは、次の動作モードに繋がる。機能;シリアルプレボンドバイパスターン、シリアルプレボンドインテストターン、シリアルポストボンドバイパスターン、シリアルポストボンドインテストターン、シリアルポストボンドエクステストターン、シリアルポストボンドバイパスエレベータ、シリアルポストボンドインテストエレベータ、シリアルポストボンドエクステストエレベータ、パラレルプレボンドバイパスターン、パラレルプレボンドインテストターン、パラレルポストボンドバイパスターン、パラレルポストボンドインテストターン、パラレルポストボンドエクステストターン、パラレルポストボンドバイパスエレベータ、パラレルポストボンドインテストエレベータ、パラレルポストボンドエクステストエレベータ。底部ダイスは専用テストパッドを有しないので、底部ダイスはプレボンド動作モードを実装しない。
スタック内の種々のダイスに対する命令を組み合わせることにより、一つ、多数、若しくは全てのダイスを同時にテストすることができ、更に、TSVベースの相互接続の一つ、多数、若しくは全ての層を同時にテストすることができる。例えば、4個のダイス階層では、スタック内の種々のダイスに以下の命令を割り当てることによって、全て高帯域幅パラレルポートを介して、ダイス2とダイス3間のTSVベースの相互接続と、ダイス4の内部回路を、同時にテストすることが可能となる。
ダイス1:パラレルポストボンドバイパスエレベータ。
ダイス2:パラレルポストボンドエクステストエレベータ。
ダイス3:パラレルポストボンドエクステストエレベータ。
ダイス4:パラレルポストボンドインテストターン。
図15は、IEEE1500に基づく、平坦なダイスに対する3D拡張ラッパの実装を示す。ここでの実装の態様は、ラッパの1149.1ベースのタイプ若しくは他のタイプに対するものと、非常に類似する。図示される(簡易化された)例示のダイスは、平坦な頂部レベルのロジックを含むに過ぎない。図15のものは、3つの機能上の主要インプット(PI[0..2])と3つの機能上の主要アウトプット(PO[0..2])を有する。これらの機能シグナルのうちには、(図15の左手側にて)このダイスより下の、スタック内のダイスと接続するように調整されたものもあり、また別途、(図15の右手側にて)このダイスより上の、スタック内のダイスと接続するように調整されたものもある。図15では、これらの機能I/Osはボールド体の矢印により強調されている。ダイス内のDfT実装は、3つの内部スキャンチェーンを含む。
本発明の実施形態に係る3D拡張ダイスラッパ150は、ダイス151をカプセル化する。ラッパ150は、以上で導入された要素の全て、即ち、WBRセル152、WIR、シリアルポートWSI−WSO、シリアルバイパスWBY、パラレルポートWPI−WPO、パラレルバイパス153、追加のプローブパッド49、テストエレベータ、パイプラインレジスタREGを、含む。図示された例では、パラレルテストエレベータ及びパラレルプローブパッドポートは、等しい幅、n=m=3となるように選択されている。
ラッパは、種々の動作モードで再構成され得る。個々の動作モードにより、ラッパ150を介する様々なテストアクセスパスが可能となる。そのような動作モード及びそれに対応するテストアクセスパスの2つの例が、図16及び図17に示される。
図16は、パラレルプレボンドインテストターンモードを示す。このモードは、スタック前の、イントラダイス回路の時間効率の良い高容量製品テストを対象とする。3ビット幅アクセスパスが、ライン160、161、162により図面で強調されている。
図17は、シリアルポストボンドエクステストエレベータモードを示す。このモードは、ボンディング後の、インターダイスのTSVベースの接続の低帯域幅テストを対象とする。シングルビットアクセスパスが、点線170により図面で強調されている。
ラッパをラッパの種々の動作モード内に再構成することは、マルチプレクサによりなされ、該マルチプレクサは、現下のアクティブのWIR命令におけるWSCコントロールシグナルにより制御される。図示される実施形態では、ラッパマルチプレクサは、m1、m2・・・と符号が付されている。同名のマルチプレクサは、同じコントロールシグナルにより制御される。
マルチプレクサm4、・・・m7は、シリアル/パラレル及びインテスト/エクステスト/バイパスを含む、従来のIEEE1500モード間で選択する。マルチプレクサm8は、WSCからの選択WIRシグナルにより制御され、シリアルポートWSI−WSOが新しい命令をWIR内にロードするために用いられるのか、又はテストデータをWBR若しくはWBY内にロードするために用いられるのかを、決定する。
マルチプレクサm9は、ダイス上の追加のプローブパッド(プレボンディングテスティング)と下方ダイスからのテストエレベータTSV(ポストボンディングテスティング)との間でI/Osとして選択を行う。
マルチプレクサm10は、ターン動作モードとエレベータ動作モードとの間で選択を行う。
以下の表1は、ラッパの種々の動作モードに対する全てのマルチプレクサコントロールシグナルの割り当てを示す。このテーブルは、本質的にWIRのアウトプット仕様である。WIRのインプット仕様は、動作モードの各々に対するユーザ定義の命令コードにより与えられる。
Figure 2013524166
更なる実施形態では、本発明は、僅かに複雑なケースに対する実装の詳細を示しており、そこでは、(1)ラッパは、パラレルプローブパッドポート及びパラレルテストエレベータポートに対して異なる幅を有し(即ち。n≠m;例示ではn=3及びm=2)、並びに(2)ダイスは、頂部レベルロジック及び埋め込み式コアを伴うコアベースのSOCである。図18は、この場合に対する、本発明の実施形態に係る3D拡張ラッパの実装を示す。図は、図15と同じスタイルものである。上記(1)をサポートするために要求される差異は、(m=2である)プレボンドパラレルテストモードと(m=3である)ポストボンドパラレルモードの間でスイッチするための、2つの補助マルチプレクサm9、マルチプレクサm13及びm14内に、存する。
この例では、ダイスは、一つの埋め込み式コア:コア1を有する。簡素化された例では、単体のコアであるコア1は、実際にはかなりより多数の埋め込み式コアを表してもよい。コア1は、3ビット幅のパラレルポートWPI−WPOを伴う従来のIEEEラッパでラップされる。
ダイスの頂部レベルロジック内の内部スキャンチェーンは、コア1を埋め込み、ローカルのシリアル及びパラレルバイパス180、181を備える。これらのバイパスは、コア1をそのままで、即ち、ダイスの頂部レベルのロジックをテストすること無しに、テストすることが望ましい場合に、アクティブとなる。図18では、これらのバイパスは、マルチプレクサm11と共に示される。それらは、WIRビットからコントロールされる。ダイスの頂部レベルロジック内でシングルビットWIRを加える代わりに、ダイスレベルWIRはこの一つの追加のビットで拡張され得る。
この例は埋め込み式コアを含むので、本発明の実施形態に係る階層WIR特徴を実装し得る。図19は、この特徴の実装を列挙する。全てのダイスレベルWSCシグナルは、コア1のWIR1へ通過し、シグナルWRSTNから離れ、該シグナルはC_WIR_ENとANDゲートを構成する。このことは、コア1のWIR1が、イネーブルとなるまでその(機能的)リセットステートで保持されることを保証する。適切な命令への応答として、ダイスレベルWIRは、コアレベルWIRがイネーブルでるべきときを示す、擬似静的テストコントロールシグナルC_WIR_ENをアサートする。WIR1がイネーブルとなるとき、マルチプレクサm12はWIRチェーンを拡張してWIR1をその中に含むようにする。
前述のように、本開示のスタックは、シングルタワースタックが得られる、本発明の実施形態に係る少なくとも一つのダイスを含む。しかしながら、別の実施形態では、本発明は、マルチタワースタック内でも実装され得る。図20に一つの例が示される。図示される例では、スタック200は、その頂部上に第1のタワー201、第2のタワー202及び第3のタワーを伴う、底部ダイスのダイス1を含む。第1のタワー201は、シングルダイスのダイス2で構成される。第2のタワー202は、2つのダイスの積層、即ち、相互にスタックするダイス3及びダイス4で構成される。第3のタワー203は、複数のダイスの積層、即ち、相互に隣り合うダイス6及びダイス7がダイス5に積層されて、構成される。
次のように設定されている。底部ダイスであるダイス1を除いて、個々のダイスはその下にダイスを有し、その上にk個のダイス若しくは積層ダイスのタワーを有する。ここで、ダイス2、ダイス4、ダイス6、ダイス7などの頂部ダイスに対してはk=0であり、ダイス3、ダイス5などの中央ダイスに対してはk>0である。
本発明の実施形態によると、ダイスは、底部面にテストポートを有し、底部面は前述のように、スタックの外部I/Osに向けて方向付けされるように規定されている。更に、個々のダイスは、頂部面にk個の同一のテストポートを有する。頂部面は底部面から離隔した面である。シングルテストポートは、コントロールデータ及びデータシグナルをシングルタワーにトランスポートして更に戻す役割を果たす。特定のダイスに対してk>1ならば、ダイスの頂部面におけるインタフェースは1からkに拡張される。k>0(つまり、参照下のダイスが頂部ダイスでない)ならば、k個のマルチプレクスが必要であり、頂面における個々のポートに対して一つ必要である。マルチプレクサは、その設定に拠るが、ダイスの頂面における個々のポートに対して、そのポートが用いられる(その場合、テストシグナルがスタック内のより高いダイスに転送される)のか用いられない(その場合、テストターンが実装される)のかを決定する。図20は、パラレルテストパス内のマルチプレクサを示すが、マルチプレクサは、(図20には示されていない)シリアルテストパス内にも存在する(図20は類似図面で有りシングルビットラインを伴う)。この実施形態では、マルチプレクサはWIRにより駆動される。この場合WIRは、シングルタワーのケースの1ターン/エレベータ命令ビットではなく、kターン/エレベータ命令ビットを有する。
図31は、2つのタワーがベースダイスの頂部上にどのように接続されるかを示す。これらのタワー内のダイスレベルラッパは、上述にて開示した本発明の実施形態に係るものであればよいことに留意すべきである。これらのタワー内のダイスは、ダイスがマルチタワースタックの部分であるという事実を「承知する」必要は無い。DfTアーキテクチャの変更の全ては、ベースのダイスに関連するものであり、該ベースのダイスは、その頂面に複数のタワーを積層できるように調整される必要があることは明白である。図31は、ベースダイスの頂部に積層される2つのタワーを示すが、この例は、任意の数のタワーに拡張し得ることに留意すべきである。それは、より多くのテストポート、マルチプレクサ、及び対応するWIRコントロールビットを要求するに過ぎない。タワーは、適切な数のダイスで構成され得る。
図20の例示のマルチタワー3D−SICは、3つのタワー201、202、203を有する。このことは、ダイス1がその頂面上に3つのテストポートを備える必要があることを意味する。ダイス3は、それ自身の頂部上に一つのみのダイスがスタックされており、従ってその頂面にて一つのみのテストポートを要求する。ダイス5は2つのタワーを有し、従ってその頂面上に2つのテストポートを備える必要がある。3D−SIC内の他のダイスは、頂部ダイスであり(即ち、それらの頂部上に他のダイスを有さないものであり)、従って、それらの頂面にてテストポートを要求しない。
図20の例示の3D−SICは、種々のターン/エレベート構成コントロールを実装する6個のマルチプレクサm1、・・・m6を有する。これらの6個のマルチプレクサに対するコントロールは、対応するダイスのWIR内の明示のビットとして、示されている。
WIRチェーンに対して、3つのバリエーションが実装され得る。(1)全てのダイスレベルWIRsが連結される(図21参照)。(2)より高いダイスレベルに向かうWIRsの個々のスプリットにて、WIRsが上述のようにハーモニカ状で含まれ得る(図22参照)。又は(3)より高いダイスレベルに向かう個々のステップにて、WIRsが明示的に広げられている(図23参照)。
図21に示すデイジーチェーンアーキテクチャは、非常に柔軟性のあるテストアクセスパスを許容するものである。新しい追加のWIRコントロールビットに対して適切なセッティングを与えることにより、デイジーチェーンテストアクセスパスに、若しくはデイジーチェーンテストアクセスパスから、どんなダイス若しくはダイスの組み合わせが、包含されてもよく、排除されてもよい。図21は、全てのダイスを含むシリアルTAMデイジーチェーンの例を示す。現下のテストアクセスパス内に含まれるダイスに対して、更なるWIRセッティングは、ダイスがインテスト、エクステスト若しくはバイパステストモードにあるかどうかを判定する。このことは、テストスケジューリングに関して、略完全なスケジュールをもたらすものである。インテストモードとエクステストモードとは、ダイス毎に相互に排他的である、というのが唯一の制約である。
図22は、図20の例示の3D−SIC上へ実装されるタワーバイタワースキームを示す。図20では、新しいテストパス構成マルチプレクスm1、・・・m6を制御するために、コントロールビットが種々のWIRに追加された。タワーバイタワースキームを実装するために、5つの更なるマルチプレクサm7、・・・m11が利用され、どのWIRsがWIRチェーンに含まれるかを選択する。マルチプレクサm7、・・・m11に対するコントロールシグナルは、マルチプレクサm1、・・・m6に対するコントロールシグナルと同じである。というのは、ダイスは、命令とテストデータの両方を入手するか、いずれも入手しないか、のいずれかであるべきであるからである。図22では、実線はダイスレベルWIRの連結を示し、点線はWIRチェーン構成のためのコントロールシグナルを表す。タワーバイタワースキームでは、WIR命令をロードすることは通常、多重ステップ動作となる。パワーオンリセット後、3D−SICは、その機能モードにあり、初期にのみ底部ダイスのWIRがWIRチェーン内に含まれる。命令をこのWIRにロードしてコントロールビット1、2、3を適宜定義することにより、一つ若しくはそれ以上のタワーのWIRsがWIRチェーン内に含まれ得る。ダイス6及びダイス7から構成されるサブタワーのWIRsを包含することにより、コントロールビット5及び6をプログラムするための、もう一つのWIRが要求される。個々のWIRはそれに関連する深さを有し、深さdにおいて命令をWIR内にロードすることは、深さ(d−1)におけるWIRが先ず適宜構成されることを要求する(d≧2)、と一般に言うことができる。WIRチェーン全体から排除されるとき、ダイスレベルWIRsはそれらの(セーフ)機能リセット状態で保持され、それらに対するグローバルWRCKクロックは、対応するターン/エレベートコントロールビットとのANDロジックによりゲートされ、これにより電力消費をセーブできる。
図23に示すレベルバイレベルスキームは、WIR4が深さ3にて配置されるように、追加のマルチプレクサm12並びに関連するINV及びANDゲートをダイス3に追加することにより、図22から導出され得る。このスキームでは、ダイスレベルWIRsがそれらより下方のダイスのWIRによるWIRチェーンの全体内に含まれているならば、ダイスレベルWIRsはプログラムされ得ることとなる。
上述の3つのWIR構成スキームは、(1)WIRチェーンの全体内に含まれる明確なWIRsの数、(2)WIRチェーンを構成するのに要求される時間、及び(3)関連するエリアのコストにおいて、異なる。スキーム1はWIR構成時間を有しないが、全てのWIRsに命令がロードされることを要求する。テストのある瞬間において実際には重要でないWIRsであってもそうである。結果として、スキーム1は追加のマルチプレクサやクロックゲーティングを要求するものではないので、エリアのコストが最も低くなる。スキーム3は最も複雑なWIR構成手続を要求されるが、WIRチェーンがその瞬間に関連するWIRsのみを含むように、WIRチェーンを構成することができる。スキーム2は、これらの2つの極致の間の中に存する。タワーの数、個々のタワーの高さ、及び個々のダイスレベルWIR長などの、特定の3D−SICの設計パラメータに依存するが、ユーザは要件に適合する最も適切なスキームを選択できる。
階層WIR命令レジスタの場合、コアレベル命令レジスタが選択的にバイパスされ得る、タワースタック若しくは従来のダイススタックの両方の場合、本発明の実施形態に関して、別途の実施形態が提示され得る。
第1の実施形態として、命令レジスタをバイパスするのみではなく、(命令レジスタとテストデータパスの両方を含む)件の埋め込み式コアの全体は、一緒にバイパスされてもよい。この実施形態の実装を図32に示す。このことにより、命令レジスタの中に、コアをイネーブルするための若しくはディスエーブルするための、追加のビットが設けられる。
第2の実施形態として、バイパス可能な命令レジスタの観念は、埋め込み式コアから、より高いレベルのダイス及びタワー内の命令レジスタにのみ、拡張され得る。この第2の実施形態では、更により高いレベルのダイス及び/又はタワーの、命令レジスタ及び/又はテストデータパスも、バイパスされ得る。例えば、図31を考慮すると、ベースダイスをテストすることのみが所望されるならば、タワー1でもタワー2でもダイスの命令レジスタをセットするについての、厳格な必要は無い。埋め込み式コア(即ち、エンコア/ディスコア・コントロールシグナルを伴うもの)をバイパスすることと同様の実施形態では、より高いレベルのダイス及び/又はタワーが、イネーブル/ディスエーブルされ得る。
図33は、埋め込み式コアを含み、2つの隣接のより高いタワー(k=2)を有する、階層SOCの例を示し、ラッパセッティングのその組み合わせは、例示のいわゆる「レールロードダイヤグラム」を左から右へ移動することにより、構成され得る。前述の選択の組み合わせの殆ど全ては可能であることに留意すべきである。(スタック隣接物がもはや無いので)プレボンドのケースでエクステスト及びエレベータオプションは無意味であること、(インテストでは、埋め込み式コアのラッパがイネーブルであることを要求されるので)ディスコアをインテストと組み合わせられないこと、が例外事由である。図33の例では、全体で46のテストモードが可能である。そのうち、プレボンドのケースが6であり、ポストボンドのケースが40である。動作モードのいくつかの例は、シリアルプレボンドインテストターン1ターン2、パラレルプレボンドインテストターン1エレベータ2、シリアルポストボンドバイパスエレベータ1ターン2、及びパラレルポストボンドエクステストエレベータ1エレベータ2、などである。k個のタワーを伴う一般的な平坦な設計に対しては、4+6・2個のテストモードがある。埋め込み式コアを伴うアーキテクチャSOCに対しては、この数字は6+10・2まで大きくなる。
スタック内で種々のダイスに対する命令を組み合わせることで、一つの、多数の、若しくは全てのダイスを同時にテストすること、更には、TSVベースの相互接続の一つの、多数の、若しくは全ての層を同時にテストすることが、可能になる。従って、本発明の実施形態に係るテストアーキテクチャにより、テスト実行の間、柔軟性のあるスケジューリングが可能になる。このことは、例えば、短い及び/又は失敗しそうなテストを先ず(再)スケジュールし、よって平均テスト時間を縮小する、アボートオンフェール(失敗時停止)セットアップで、利用され得る。
前述の記載は、本発明の或る実施形態を詳述する。しかし、当然のことながら、前述の記載が如何に詳細に文章で示されているとしても本発明は多くのやり方で実施され得る。本発明の或る特徴や形態を記述する際に特定の用語を利用するとしても、該用語が関連する発明の特徴若しくは形態の特定の特性を含むように限定して該用語を再定義することが示されることに、留意すべきである。
前記詳細な説明は、種々の実施形態に適用される発明の新たな特徴を示し、記述し、指摘しているが、当然のことながら、例示の装置若しくは方法の形態及び詳述における様々な省略、置換、及び変更は、本発明の精神から乖離すること無く当業者によって、為され得る。
43・・・WIR
44・・・WBR
49・・・WPI、WPO、WSO、WSC

Claims (17)

  1. ダイス(ダイス1)をテストするための、及び/又は、ダイス(ダイス1)が積層されるとき、ダイス(ダイス1)と隣接ダイス(ダイス2)との間の相互接続をテストするための、テスト回路を含むダイス(ダイス1)において、
    前記テスト回路は、
    テスト刺激を受信するための第1のインプットポート(35TDI)及びテストレスポンスを送信するための第1のアウトプットポート(35TDO)であって、前記第1のインプットポート及び前記第1のアウトプットポートは前記ダイス(ダイス1)の同じ面に位置し、前記第1のインプットポートと前記第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがある、第1のインプットポート及び第1のアウトプットポートと、
    別のダイス(ダイス2)に向けてテスト刺激を送信するための少なくとも一つの第2のアウトプットポート、及び、別のダイス(ダイス2)からテストレスポンスを受信するための少なくとも一つの第2のインプットポートであって、前記第1のインプットポートと前記第2のアウトプットポートのうちの少なくとも一つとの間にてダイス内部にはデータシグナルパスがあり、前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがある、第2のインプットポート及び第2のアウトプットポートと
    を含む
    ダイス。
  2. 前記第1のインプットポートと前記第1のアウトプットポートとの間におけるダイス内部のデータシグナルパスに亘ってシグナルを送信するモードと、前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートとの間におけるダイス内部のデータシグナルパスに亘ってシグナルを送信するモードとの間を、スイッチする複数のスイッチを、更に含む
    請求項1に記載のダイス。
  3. テストレスポンスが、前記第1のアウトプットの一つに向かって、前記第1のインプットの一つと、前記少なくとも一つの第2のインプットポートの一つとのいずれかから、送信されるかどうかを決定する、命令をロードして格納する命令レジスタを、更に含む
    請求項1又は2に記載のダイス。
  4. 前記第1のインプットポートと前記少なくとも一つの第2のアウトプットポートとの間における前記データタシグナルパス内の少なくとも一つの登録エレメントと、前記少なくとも一つの第2のインプットポートと前記第1のアウトプットポートとの間における前記データタシグナルパス内の少なくとも一つの登録エレメントとを、更に含む
    請求項1乃至3のうちのいずれか一に記載のダイス。
  5. プレボンドダイステスティングを促進するための、少なくとも一つの更なるインプットポート及び/又は少なくとも一つの更なるアウトプットポートであって、前記第1のインプットポートと前記第1のアウトプットポートとの間における前記データタシグナルパス、及び/又は、前記第1のインプットポートと前記第2のアウトプットポートのうちの少なくとも一つとの間における前記データタシグナルパス、及び/又は、前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートとの間における前記データタシグナルパスに、接続する、少なくとも一つの更なるインプットポート及び/又は少なくとも一つの更なるアウトプットポートを、更に含む
    請求項1乃至4のうちのいずれか一に記載のダイス。
  6. 前記ダイスがプレボンド構成内にあるのか若しくはポストボンド構成にあるのか、を自動的に判別する検知回路を、更に含む
    請求項5に記載のダイス。
  7. 前記少なくとも一つの第1のインプットポートと前記少なくとも一つの更なるインプットポートとの間を選択するコントロールシグナルを生成するように、前記検知回路が調整されている請求項6に記載のダイス。
  8. 別のダイスに向けてテスト刺激を送信するための少なくとも二つの第2のアウトプットポート、及び、前記別のダイスからテストレスポンスを受信するための少なくとも二つの第2のインプットポートであって、前記第1のインプットポートと前記第2のアウトプットポートのうちの少なくとも一つとの間にてダイス内部にはデータシグナルパスがあり、前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートとの間にてダイス内部にはデータシグナルパスがある、第2のアウトプットポート及び第2のインプットポートと
    を含む
    請求項1乃至5のうちのいずれか一に記載のダイス。
  9. 請求項1乃至8のうちのいずれかに記載の少なくとも一つのダイスを含むスタック。
  10. 第1のダイスの第2のアウトプットポートが第2のダイスの第1のインプットポートに接続され、前記第2のダイスの第1のアウトプットポートが前記第1のダイスの第2のインプットポートに接続される
    請求項9に記載のスタック。
  11. 少なくとも一つのダイスが、外部インプット/アウトプットポートを含む
    請求項9又は10に記載のスタック。
  12. 異なるダイスに関連する複数の命令レジスタがレジスタチェーン内に連結される
    請求項9乃至11のうちのいずれか一に記載のスタック。
  13. スタック内のダイスの少なくとも一つが、少なくとも一つのコアレベル命令レジスタの設けられた少なくとも一つの埋め込み式コアを含み、ダイスレベル命令レジスタ命令がコアレベル命令レジスタがバイパスされるかどうか決定するように動作すべく、前記レジスタチェーンが調整されている
    請求項12に記載のスタック。
  14. スタック内のダイスの少なくとも一つは、少なくとも一つの他のダイスがその上にスタックされ、ダイスレベル命令レジスタ命令が前記少なくとも一つの他のダイスのダイスレベル命令レジスタがバイパスされるかどうか決定するように動作すべく、
    前記レジスタチェーンが調整されている
    請求項12又は13に記載のスタック。
  15. 底部ダイスと、前記底部ダイスの頂部上にスタックされた少なくとも一つのダイスとを含む、ダイスのスタックをテストする方法において、
    テストシグナルを前記底部ダイスに加えるステップと、
    前記底部ダイスをテストすべきかどうか、前記底部ダイスの頂部上にスタックされた前記少なくとも一つのダイスのうちの一つをテストすべきかどうか、又は、前記スタック内のダイス間の相互接続をテストすべきかどうか、決定するステップと、
    前記決定するステップに拠って、前記底部ダイス内部の、若しくは、前記底部ダイスと隣接ダイスとの間の相互接続を介する、データシグナルパスに亘って前記テストシグナルをルート付けするステップと、
    前記底部ダイスにて前記スタックからテストレスポンスを受信するステップと
    を含む方法。
  16. テスト可能ダイスを設計するための方法において、
    前記ダイスのソフトウエア表示を受信するステップと、
    テスト刺激を受信する第1のインプットポートとテストレスポンスを送信する第1のアウトプットポートであって、前記ダイスの同じ面に配置される第1のインプットポートと第1のアウトプットポートを追加することにより、
    前記第1のインプットポートと前記第1のアウトプットポートの間にて前記ダイス内部にデータシグナルパスを設けることにより、
    別のダイスに向けてテスト刺激を送信するための少なくとも一つの第2のアウトプットポート、及び、前記別のダイスからテストレスポンスを受信するための少なくとも一つの第2のインプットポートを追加することにより、及び、
    前記第1のインプットポートと前記第2のアウトプットポートのうちの少なくとも一つの間にて前記ダイス内部にデータシグナルパスを設け、更に前記第2のインプットポートのうちの少なくとも一つと前記第1のアウトプットポートの間にて前記ダイス内部にデータシグナルパスを設けることにより、
    前記ソフトウエア表示を修正するステップと
    を含む方法。
  17. プロセッサにより請求項16又は17に記載の方法を実行するコンピュータプログラム。
JP2013500537A 2010-03-26 2011-03-28 Tsvベースの3次元積層icのためのテストアーキテクチャ Active JP6002124B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US31817310P 2010-03-26 2010-03-26
US61/318,173 2010-03-26
US31868010P 2010-03-29 2010-03-29
US61/318,680 2010-03-29
EP10177675.5 2010-09-20
EP10177675A EP2372379B1 (en) 2010-03-26 2010-09-20 Test access architecture for TSV-based 3D stacked ICS
PCT/EP2011/054722 WO2011117418A1 (en) 2010-03-26 2011-03-28 Test access architecture for tsv-based 3d stacked ics

Publications (2)

Publication Number Publication Date
JP2013524166A true JP2013524166A (ja) 2013-06-17
JP6002124B2 JP6002124B2 (ja) 2016-10-05

Family

ID=43027697

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013500537A Active JP6002124B2 (ja) 2010-03-26 2011-03-28 Tsvベースの3次元積層icのためのテストアーキテクチャ

Country Status (5)

Country Link
US (1) US9239359B2 (ja)
EP (1) EP2372379B1 (ja)
JP (1) JP6002124B2 (ja)
KR (1) KR101918782B1 (ja)
WO (1) WO2011117418A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170119312A (ko) * 2017-10-10 2017-10-26 연세대학교 산학협력단 3차원 반도체 장치의 테스트 회로 및 그의 테스트 방법

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8615694B2 (en) 2011-02-07 2013-12-24 Texas Instruments Incorporated Interposer TAP boundary register coupling stacked die functional input/output data
US9043665B2 (en) * 2011-03-09 2015-05-26 Intel Corporation Functional fabric based test wrapper for circuit testing of IP blocks
US8793095B2 (en) 2011-03-09 2014-07-29 Intel Corporation Functional fabric-based test controller for functional and structural test and debug
US8522189B2 (en) 2011-03-09 2013-08-27 Intel Corporation Functional fabric based test access mechanism for SoCs
CN102959417B (zh) 2011-06-09 2016-02-10 松下电器产业株式会社 三维集成电路及其测试方法
EP2541415B1 (en) * 2011-06-30 2014-01-01 Imec Fault mode circuits
US8773157B2 (en) 2011-06-30 2014-07-08 Imec Test circuit for testing through-silicon-vias in 3D integrated circuits
US8924802B2 (en) 2011-08-17 2014-12-30 Texas Instruments Incorporated IC TAP with dual port router and additional capture input
KR20130042076A (ko) * 2011-10-18 2013-04-26 에스케이하이닉스 주식회사 반도체 장치
US8645777B2 (en) * 2011-12-29 2014-02-04 Intel Corporation Boundary scan chain for stacked memory
US20130185608A1 (en) * 2012-01-18 2013-07-18 Qualcomm Incorporated Scan chain access in 3d stacked integrated circuits
US8977919B2 (en) 2012-02-21 2015-03-10 Texas Instruments Incorporated Scan, test, and control circuits coupled to IC surfaces contacts
US9157960B2 (en) 2012-03-02 2015-10-13 Micron Technology, Inc. Through-substrate via (TSV) testing
US8933715B2 (en) * 2012-04-08 2015-01-13 Elm Technology Corporation Configurable vertical integration
US8904253B2 (en) * 2012-06-25 2014-12-02 Intel Corporation Method and apparatus for testing I/O boundary scan chain for SoC's having I/O's powered off by default
US9389944B1 (en) 2012-09-07 2016-07-12 Mentor Graphics Corporation Test access architecture for multi-die circuits
CN102856226B (zh) * 2012-09-08 2015-01-07 合肥工业大学 设有信号反弹模块的3d-sic过硅通孔的测试装置
US9689918B1 (en) * 2012-09-18 2017-06-27 Mentor Graphics Corporation Test access architecture for stacked memory and logic dies
US8694951B1 (en) * 2012-10-02 2014-04-08 Lsi Corporation Core wrapping in the presence of an embedded wrapped core
EP2722680B1 (en) * 2012-10-19 2018-10-10 IMEC vzw Transition delay detector for interconnect test
US8782479B2 (en) * 2012-11-01 2014-07-15 Duke University Scan test of die logic in 3D ICs using TSV probing
EP2790027B1 (en) 2013-04-08 2017-10-18 Imec Two-step interconnect testing of semiconductor dies
CN103279405A (zh) * 2013-05-30 2013-09-04 南京航空航天大学 适用于片上网络嵌入式ip核的测试壳
KR102038414B1 (ko) * 2013-06-20 2019-11-26 에스케이하이닉스 주식회사 테스트 장치 및 그의 동작 방법
US9222983B2 (en) 2013-09-16 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit and method for monolithic stacked integrated circuit testing
US9123787B2 (en) 2013-09-17 2015-09-01 Globalfoundries Inc. Through-silicon via unit cell with keep out zones and center point aligned probe pad, and method of forming
US9110136B2 (en) * 2013-09-27 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit and method for monolithic stacked integrated circuit testing
TW201525494A (zh) * 2013-12-26 2015-07-01 Nat Univ Tsing Hua 測試兼具容錯矽穿通道裝置
TWI556247B (zh) * 2014-11-12 2016-11-01 財團法人工業技術研究院 錯誤容忍穿矽孔介面及其控制方法
CN104618188B (zh) * 2015-01-31 2019-02-12 上海华岭集成电路技术股份有限公司 基于ieee 1149.1协议的封装过程中的测试方法
US9508615B2 (en) 2015-02-09 2016-11-29 Qualcomm Incorporated Clock tree synthesis for low cost pre-bond testing of 3D integrated circuits
KR20160146404A (ko) * 2015-06-12 2016-12-21 에스케이하이닉스 주식회사 입출력라인 테스트 장치 및 방법
KR20170034178A (ko) 2015-09-18 2017-03-28 에스케이하이닉스 주식회사 반도체 패키지 장치
KR102449022B1 (ko) * 2015-11-05 2022-09-29 에스케이하이닉스 주식회사 적층형 반도체 메모리 및 이를 포함하는 반도체 시스템
US9588174B1 (en) * 2016-03-08 2017-03-07 International Business Machines Corporation Method for testing through silicon vias in 3D integrated circuits
US10060979B2 (en) * 2016-08-02 2018-08-28 Texas Instruments Incorporated Generating multiple pseudo static control signals using on-chip JTAG state machine
KR20180043520A (ko) * 2016-10-20 2018-04-30 에스케이하이닉스 주식회사 리프레쉬 타이밍 생성 회로, 리프레쉬 제어 회로 및 이를 포함하는 반도체 장치
US10295588B2 (en) 2016-12-22 2019-05-21 Xcelsis Corporation Wafer testing without direct probing
TWI606531B (zh) 2017-03-30 2017-11-21 義守大學 適用於三維晶片的缺陷測試方法及系統
US10429441B2 (en) 2017-05-24 2019-10-01 Qualcomm Incorporated Efficient test architecture for multi-die chips
US10705934B2 (en) 2017-06-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Scan synchronous-write-through testing architectures for a memory device
DE102017121308B4 (de) 2017-06-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Synchron-write-through-abtastprüfungsarchitekturen für einen speicherbaustein
KR102498883B1 (ko) 2018-01-31 2023-02-13 삼성전자주식회사 전류를 분산시키는 관통 전극들을 포함하는 반도체 장치
KR20190107368A (ko) * 2018-03-12 2019-09-20 삼성전자주식회사 관통 전극의 부하를 판별하기 위한 반도체 다이 및 이를 포함하는 반도체 장치
CN109885518B (zh) * 2019-01-17 2020-09-18 桂林电子科技大学 一种边界扫描结构与IEEE 1500 Wrapper转换接口
CN110058113A (zh) * 2019-05-05 2019-07-26 哈尔滨工业大学 一种针对硅通孔漏电故障的绑定后硅通孔测试结构及方法
US10852353B1 (en) * 2019-07-02 2020-12-01 Texas Instruments Incorporated Scan test control decoder with storage elements for use within integrated circuit (IC) devices having limited test interface
JP7037528B2 (ja) * 2019-09-12 2022-03-16 株式会社東芝 集積回路およびそのテスト方法ならびに電子機器
KR20220014996A (ko) 2020-07-30 2022-02-08 삼성전자주식회사 반도체 메모리 장치
WO2022041232A1 (zh) * 2020-08-31 2022-03-03 华为技术有限公司 芯片测试电路及电路测试方法
WO2022041223A1 (zh) * 2020-08-31 2022-03-03 华为技术有限公司 芯片测试电路及电路测试方法
CN115047319B (zh) * 2022-07-01 2024-04-30 深圳市灵明光子科技有限公司 一种对同一封装下多颗芯片的测试电路

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000227457A (ja) * 1999-02-05 2000-08-15 Rohm Co Ltd 半導体装置
JP2003172764A (ja) * 2001-12-05 2003-06-20 Matsushita Electric Ind Co Ltd バウンダリスキャン回路
JP2004061299A (ja) * 2002-07-29 2004-02-26 Renesas Technology Corp 半導体装置
JP2004264057A (ja) * 2003-02-12 2004-09-24 Sharp Corp バウンダリスキャンコントローラ、半導体装置、半導体装置の半導体回路チップ識別方法、半導体装置の半導体回路チップ制御方法
US20040193979A1 (en) * 2003-03-31 2004-09-30 Koninklijke Philips Electronics N.V. Circuit configurator arrangement and approach therefor
JP2005503563A (ja) * 2001-09-20 2005-02-03 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 電子デバイス

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6990618B1 (en) * 2002-12-03 2006-01-24 Cypress Semiconductor Corporation Boundary scan register for differential chip core
DE10260184B4 (de) * 2002-12-20 2005-08-25 Infineon Technologies Ag Speichermodul mit einer Testeinrichtung
JP4274806B2 (ja) * 2003-01-28 2009-06-10 株式会社リコー 半導体集積回路およびスキャンテスト法
DE602005015422D1 (de) * 2004-02-17 2009-08-27 Inst Nat Polytech Grenoble Integrierter schaltungschip mit kommunikationsmitteln, wodurch eine fernbedienung von testmitteln von ip-kernen der integrierten schaltung möglich wird
US7818640B1 (en) * 2004-10-22 2010-10-19 Cypress Semiconductor Corporation Test system having a master/slave JTAG controller
KR100757264B1 (ko) * 2005-12-29 2007-09-11 전자부품연구원 연결선 지연 고장 테스트 제어기 및 이를 이용한 연결선고장 테스트 장치
US7451367B2 (en) * 2006-02-14 2008-11-11 Atmel Corporation Accessing sequential data in microcontrollers
CN100487473C (zh) * 2006-08-17 2009-05-13 华为技术有限公司 边界扫描系统及方法
US7979764B2 (en) * 2007-11-01 2011-07-12 Cadence Design Systems, Inc. Distributed test compression for integrated circuits
US8384411B2 (en) * 2009-12-18 2013-02-26 Tektronix, Inc. Method and device for measuring inter-chip signals
US8914692B2 (en) * 2011-08-17 2014-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. DRAM test architecture for wide I/O DRAM based 2.5D/3D system chips
US8645777B2 (en) * 2011-12-29 2014-02-04 Intel Corporation Boundary scan chain for stacked memory
US20130185608A1 (en) * 2012-01-18 2013-07-18 Qualcomm Incorporated Scan chain access in 3d stacked integrated circuits
US8977919B2 (en) * 2012-02-21 2015-03-10 Texas Instruments Incorporated Scan, test, and control circuits coupled to IC surfaces contacts

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000227457A (ja) * 1999-02-05 2000-08-15 Rohm Co Ltd 半導体装置
JP2005503563A (ja) * 2001-09-20 2005-02-03 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 電子デバイス
JP2003172764A (ja) * 2001-12-05 2003-06-20 Matsushita Electric Ind Co Ltd バウンダリスキャン回路
JP2004061299A (ja) * 2002-07-29 2004-02-26 Renesas Technology Corp 半導体装置
JP2004264057A (ja) * 2003-02-12 2004-09-24 Sharp Corp バウンダリスキャンコントローラ、半導体装置、半導体装置の半導体回路チップ識別方法、半導体装置の半導体回路チップ制御方法
US20040193979A1 (en) * 2003-03-31 2004-09-30 Koninklijke Philips Electronics N.V. Circuit configurator arrangement and approach therefor

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JPN6015035124; ERIK JAN MARINISSEN, YERVANT ZORIAN: 'Testing 3D Chips Containing Through-Silicon Vias' Test Conference, 2009. ITC 2009. International , 200911, pp.1-11, IEEE *
JPN6016030020; Erik Jan MARINISSEN, Jouke VERBREE, Mario KONIJNENBURG: '"A Structured and Scalable Test Access Architecture for TSV-Based 3D Stacked ICs"' Proceedings 2010 28th IEEE VLSI Test Symposium (VTS) , 201004, pp.269-274 *
JPN6016030022; Erik Jan MARINISSEN, Chun-Chuan CHI, Jouke VERBREE, Mario KONIJNENBURG: '"3D DfT Architecture for Pre-Bond and Post-Bond Testing"' Proceedings IEEE 3D Systems Integration Conference (3DIC) , 201011 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170119312A (ko) * 2017-10-10 2017-10-26 연세대학교 산학협력단 3차원 반도체 장치의 테스트 회로 및 그의 테스트 방법
KR101884573B1 (ko) 2017-10-10 2018-08-01 연세대학교 산학협력단 3차원 반도체 장치의 테스트 회로 및 그의 테스트 방법

Also Published As

Publication number Publication date
JP6002124B2 (ja) 2016-10-05
KR20130006457A (ko) 2013-01-16
US9239359B2 (en) 2016-01-19
EP2372379A1 (en) 2011-10-05
WO2011117418A1 (en) 2011-09-29
KR101918782B1 (ko) 2019-02-08
EP2372379B1 (en) 2013-01-23
US20130024737A1 (en) 2013-01-24

Similar Documents

Publication Publication Date Title
JP6002124B2 (ja) Tsvベースの3次元積層icのためのテストアーキテクチャ
Marinissen et al. A structured and scalable test access architecture for TSV-based 3D stacked ICs
Marinissen et al. 3D DfT architecture for pre-bond and post-bond testing
Marinissen et al. A DfT architecture for 3D-SICs based on a standardizable die wrapper
US7171600B2 (en) Semiconductor wiring substrate, semiconductor device, method for testing semiconductor device, and method for mounting semiconductor device
US6825683B1 (en) System and method for testing multiple integrated circuits that are in the same package
US20100332177A1 (en) Test access control apparatus and method thereof
JP6317562B2 (ja) 相互接続テストのための遷移遅延検出器
Chi et al. 3D-IC interconnect test, diagnosis, and repair
US9222983B2 (en) Circuit and method for monolithic stacked integrated circuit testing
Deutsch et al. DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks
KR101643462B1 (ko) 모놀리식 적층형 집적 회로를 검사하기 위한 회로 및 방법
Chou et al. A test integration methodology for 3D integrated circuits
US9417285B2 (en) Integrated fan-out package-on-package testing
Deutsch et al. Automation of 3D-DfT insertion
Hutner et al. Special session: Test challenges in a chiplet marketplace
Wang et al. Built-in self-test and test scheduling for interposer-based 2.5 D IC
Papameletis et al. Automated DfT insertion and test generation for 3D-SICs with embedded cores and multiple towers
Fkih et al. 3D DFT challenges and solutions
Wang et al. Testing of interposer-based 2.5 D integrated circuits
JPH10177501A (ja) 埋め込みコアのボンドパッドアクセスのための信号コレクタを有する集積回路
Ye et al. Autonomous testing for 3D-ICs with IEEE std. 1687
Taouil et al. Exploring test opportunities for memory and interconnects in 3D ICs
Cron et al. IEEE standard 1838 is on the move
JP3566157B2 (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140124

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140805

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141028

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141204

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141211

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160229

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160816

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160902

R150 Certificate of patent or registration of utility model

Ref document number: 6002124

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250