JP2011527124A - 半導体構造のドープ方法およびその半導体デバイス - Google Patents

半導体構造のドープ方法およびその半導体デバイス Download PDF

Info

Publication number
JP2011527124A
JP2011527124A JP2011517120A JP2011517120A JP2011527124A JP 2011527124 A JP2011527124 A JP 2011527124A JP 2011517120 A JP2011517120 A JP 2011517120A JP 2011517120 A JP2011517120 A JP 2011517120A JP 2011527124 A JP2011527124 A JP 2011527124A
Authority
JP
Japan
Prior art keywords
nuclide
dopant
strained
layer
isotropic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011517120A
Other languages
English (en)
Inventor
ロヘル・ロー
フレデリク・レイス
マッティ・カイマックス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2011527124A publication Critical patent/JP2011527124A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • H01L21/2256Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides through the applied layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

核種を歪み半導体層の中に導入する方法であって、露出した歪み半導体層を含む第1領域を備えた基板を用意し、該基板を反応チャンバの中に投入するステップと、少なくとも露出した歪み半導体層の上に、気相堆積(VPD)によって等方性の第1核種含有層を形成するステップと、続いて、第1熱処理を実施し、これにより第1核種含有層から第1核種の少なくとも一部を歪み半導体層の中に拡散し、歪み半導体層の中に拡散した第1核種の少なくとも一部を活性化するステップとを含む。

Description

本発明は、半導体デバイスおよび半導体デバイスの製造方法に関する。特に、本発明は、半導体構造のドープ方法およびその半導体デバイスに関する。
歪みシリコン(strained-silicon)技術が、改善されたキャリア輸送特性に起因して金属酸化膜半導体電界効果トランジスタ(MOSFET)の性能を改善する有望なルートである。
特に、二軸性(biaxial)歪みシリコン・オン・インシュレータ基板(略してSSOI)が、将来のCMOS技術ノードにとって有望な歪み工学の起点である。Si層内の引張応力は、歪無しSOIと比べて増加したキャリア移動度を生じさせる。これにより、改善した駆動電流をもたらす。
歪みSi MOSFETのスケーリングが続くと、性能改善は、プロセス中の劣化、特にイオン注入および熱処理の効果に対して影響を受けやすくなることがある。
詳細には、ゲート下方のイオン注入量(例えば、ハロー(halo)注入および拡張部(extensions)注入と関連する)は、スケーリングとともに増加する。さらに、ソース/ドレイン拡張領域と関連した損傷は、デバイスの縮小(scaled)とともに、チャネルのより大きな部分を含むことがある。イオン注入損傷は、歪み緩和または下地層からの核種(species)(例えば、Ge)の上方拡散を支援する点欠陥を供給することがある。
さらに、熱アニール後に残留する残余のイオン注入損傷は、キャリア散乱中心として働くことがある。歪みSi膜において、熱処理、例えば、ポスト注入アニールが、ミスフィット転位を引き起こすことがあり、歪み緩和および増強した不純物拡散をもたらし、最終的には減少したキャリア移動度を生じさせる。
プレーナ接合と比較した場合、一般にはFinFETまたはMuGFETと称されるマルチゲート3D構造での接合形成は、等方性(conformal)のドーピングプロファイルを達成するのに更なる挑戦を提示する。詳細には、イオンビームの単一指向性および隆起構造(Fin)での斜影効果のため、従来のイオン注入技術を用いて、等方性のFinFET接合を達成することがより困難になる。
さらに、極めて狭いフィン構造のため、従来のイオン注入によって生ずるアモルファス化が、熱アニールによって完全に回復できない。
先行技術での進歩にも関わらず、歪み半導体層または狭い半導体構造(例えば、FinFETデバイスでのフィン構造)をドープする方法についてのニーズが未だ存在しており、これは、従来のイオン注入技術および、可能ならば次の熱アニール工程と置き換え可能であるとともに、デバイス性能を変更することなく、あるいは改善するものである。
(発明の要旨)
本発明の一態様によれば、核種(species)を歪み半導体層の中に導入する方法が提供され、該方法は、下記ステップを含む(または、下記ステップからなる)。
a)露出した歪み半導体層を含む第1領域を備えた基板を、反応チャンバの中に用意するステップ。
b)少なくとも露出した歪み半導体層の上に、気相堆積(VPD: vapor phase deposition)によって等方性の第1核種含有層を形成するステップ。
c)続いて、第1熱処理を実施し、これにより第1核種含有層から第1核種の少なくとも一部を、(露出)歪み半導体層の中に拡散し、(露出)歪み半導体層の中に拡散した第1核種の少なくとも一部を活性化するステップ。
好ましくは、本発明の方法において、基板は、露出した歪み半導体層を含む第2領域を備え、該方法はさらに、下記ステップを含む(または、下記ステップからなる)。
d)第1領域の露出した歪み半導体層の中に第1核種を拡散した後、拡散マスクを形成し、これにより基板の第1領域を覆うステップ。
e)反応チャンバ内で、(第2領域の)少なくとも露出した歪み半導体層の上に、気相堆積(VPD)によって等方性の第2核種含有層を形成するステップ。
f)続いて、第2熱処理を実施し、これにより第2核種含有層から第2核種の少なくとも一部を(第2領域の)(露出)歪み半導体層の中に拡散し、拡散した第1核種および(第2領域の)(露出)歪み半導体層の中に拡散した第2核種の少なくとも一部を活性化するステップ。
好ましくは、本発明の方法において、(第1及び/又は第2)熱処理は、急速加熱処理(RTP: rapid thermal processing)、急速加熱アニール(RTA: rapid thermal annealing)、レーザアニール、またはこれらの何れかの組合せを含む。より好ましくは、本発明の方法で使用する(第1及び/又は第2)熱処理は、急速加熱処理であり、さらに好ましくはスパイク(spike)アニールである。
好ましくは、本発明の方法において、急速加熱処理は、(約)800℃〜(約)1300℃、好ましくは(約)900℃〜(約)1200℃、より好ましくは(約)1000℃〜(約)1100℃の温度,最も好ましくは(約)1050℃の温度で行う。
好ましくは、本発明の方法において、急速加熱処理のためのソーク時間(soak time)は、(約)0.1秒〜(約)1.5秒、より好ましくは(約)0.5秒〜(約)1.5秒、さらに好ましくは、急速加熱処理のためのソーク時間は(約)1秒である。さらに好ましくは、ここで使用する(第1及び/又は第2)熱処理は、急速加熱処理であり、より好ましくは、(約)1050℃の温度で(約)1秒のスパイクアニールである。
好ましくは、本発明の方法において、(第1領域及び/又は第2領域の)露出した歪み半導体層は、自然酸化物層を上部に備え、該方法は、(第1領域及び/又は第2領域の)露出した歪み半導体層から自然酸化物層を除去するステップをさらに含む。
本発明の好ましい方法において、等方性の第1核種含有層及び/又は第2核種含有層を形成する前に、拡散制御層(DCL: diffusion control layer)が少なくとも(第1領域及び/又は第2領域の)露出した歪み半導体層の上に形成される。
好ましくは、本発明の方法において、第1核種は、アルシン(AsH)、ホスフィン(PH)またはこれらの混合物からなるグループから好ましく選択されたn型ドーパントであり、第2核種は、ジボランとなるように好ましく選択されたp型ドーパントである。
好ましくは、本発明の方法において、等方性のn型ドーパント含有層を形成するステップは、ドーパント脱離限界より低い温度で実施する。
好ましくは、本発明の方法において、等方性のp型ドーパント含有層を形成するステップは、ドーパント内部拡散(in-diffusion)限界より低い温度で実施する。
好ましくは、本発明の方法において、歪み(半導体)層の下方において、基板は、バルクシリコン、シリコン・オン・インシュレータ(SOI)、SOI上のSiGe1−x(0<x<1)、Si上のSiGe1−x(0<x<1)、バルクGe、またはこれらの混合物を含む。
より好ましくは、本発明の方法において、歪み半導体層は、歪みSi、歪みGe、歪みSiGe1−x(0<x<1)、歪みIII−V材料、歪みSiC、またはこれらの混合物を含む。さらに好ましくは、本発明の方法において、歪み半導体層は、歪みSiからなる。
好ましくは、本発明の方法において、拡散制御層は、シリコン酸化物である。
好ましくは、本発明の方法において、第1及び/又は第2核種含有層を形成するステップは、第1及び/又は第2核種含有前駆体(precursor)およびキャリアガスを反応チャンバ内に注入するステップを含み(または、該ステップからなり)、キャリアガスは、好ましくは、N,H,Heまたはこれらの混合物からなるグループから選択され、より好ましくは、キャリアガスは、Nに選択される。
好ましくは、本発明の方法において、第1及び/又は第2核種含有層を形成するステップは、(約)10torr(131Pa)〜(約)1atm(10kPa)の圧力で実施する。
好ましくは、本発明の方法において、反応チャンバは、エピタキシャル反応容器、低圧化学気相成長(LPCVD)チャンバ、減圧化学気相成長(RPCVD)チャンバ、常圧化学気相成長(APCVD)チャンバ、超高真空気相成長(UHV−CVD)、またはガスソース分子線(GSMBE)チャンバである。より好ましくは、反応チャンバは、減圧化学気相成長(RPCVD)チャンバである。
他の態様によれば、本発明は、半導体デバイスに関するもので、半導体デバイスは、チャネル領域と、チャネル領域に近接し、ドーパントで改質(modified)した少なくとも歪み領域を含む基板を備え、歪み領域は、ドーパントを導入する前に、チャネル領域においてキャリア移動度の増強を誘発するものであり、歪み領域によって誘発されたキャリア移動度の増強は、ドーパント導入およびドーパント活性化の際、サブ100nm MOSFETの拡張領域を形成するために従来から用いたドーパント量と同等またはより高いドーパント量に、本質的に(または実質的に)保持(または維持)されている。
好ましくは、本発明の方法において、歪み領域は、歪みSiからなり、基板は、バルクシリコン、シリコン・オン・インシュレータ(SOI)、Si上の緩和SiGe、バルクGe、またはこれらの混合物をさらに含む。
本発明のさらに他の態様において、核種(species)を狭いフィン構造に導入して、等方性のドーパントプロファイルを形成する方法が提供される。該方法は、下記ステップを含む(または、下記ステップからなる)。
a)初期の結晶化度を有し、あるフィン幅を有する、半導体材料中に作成され、少なくとも露出したフィン構造を含む基板を、反応チャンバの中に用意するステップ。
b)少なくとも露出したフィン構造の上に、気相堆積(VPD: vapor phase deposition)によって等方性の核種含有層を形成するステップ。
c)続いて、熱処理を実施し、これにより核種含有層から核種の少なくとも一部を、(露出)フィン構造の中に等方的に拡散し、(露出)フィン構造の中に拡散した核種の少なくとも一部を活性化し、これによりフィン幅の1/2より大きいまたはこれに等しい深さを持つ等方性のドーパントプロファイルを形成し、半導体材料の初期の結晶化度を保存するようにしたステップ。
好ましくは、核種を狭いフィン構造に導入する本発明の方法において、熱処理は、急速加熱処理(RTP)、急速加熱アニール(RTA)、レーザアニール、またはこれらの何れかの組合せを含む。より好ましくは、本発明の方法で使用する熱処理は、急速加熱処理(RTP)であり、さらに好ましくはスパイク(spike)アニールである。
好ましくは、本発明の方法において、急速加熱処理は、(約)800℃〜(約)1300℃、好ましくは(約)900℃〜(約)1200℃、より好ましくは(約)1000℃〜(約)1100℃の温度,最も好ましくは(約)1050℃の温度で行う。
好ましくは、本発明の方法において、急速加熱処理のためのソーク時間(soak time)は、(約)0.1秒〜(約)1.5秒、より好ましくは(約)0.5秒〜(約)1.5秒、さらに好ましくは、急速加熱処理のためのソーク時間は(約)1秒である。さらに好ましくは、ここで使用する(第1及び/又は第2)熱処理は、急速加熱処理であり、より好ましくは、(約)1050℃の温度で(約)1秒のスパイクアニールである。
本発明の好ましい方法において、等方性の核種含有層を形成する前に、拡散制御層(DCL)が、少なくとも露出したフィン構造の上に形成される。
好ましくは、本発明の方法において、核種は、アルシン(AsH)、ホスフィン(PH)またはこれらの混合物からなるグループから好ましく選択されたn型ドーパントであり、及び/又は、核種は、ジボランとなるように好ましく選択されたp型ドーパントである。
好ましくは、本発明の方法において、等方性のn型ドーパント含有層を形成するステップは、ドーパント脱離限界より低い温度で実施する。
好ましくは、本発明の方法において、等方性のp型ドーパント含有層を形成するステップは、ドーパント内部拡散(in-diffusion)限界より低い温度で実施する。
好ましくは、本発明の方法において、該方法は、フィン構造が作成される半導体材料のエピタキシャル単分子層を、等方性の核種含有層の上に成長させるステップを含み(または、該ステップからなる)、これによりフィン構造での置換サイトに核種を導入/組み込む。より好ましくは、本発明の方法において、上述のような熱処理を実施するステップは、省略される。
好ましくは、本発明の方法において、等方性の核種含有層を形成し、半導体材料のエピタキシャル単分子層を成長させるシーケンスは、少なくとも2回繰り返され、これにより半導体基板に組み込み/導入した核種の濃度を増加させる。さらに好ましくは、上述のようなシーケンスの間に、第2半導体材料のエピタキシャル単分子層が形成される。
好ましくは、本発明の方法において、フィン幅は、(約)20nmより小さいか、これと等しい。
本発明の他の態様において、半導体デバイスが提供される。半導体デバイスは、あるフィン幅を有し、ドーパントで改質され、等方性のドーパントプロファイルおよびドーパントプロファイル深さを有するフィン構造を含む基板を備え、フィン構造は、ドーパント導入前の初期の結晶化度を有する半導体材料中に作成され、ドーパントプロファイル深さは、フィン幅の(約)1/2より大きいまたはこれに等しく、フィン構造は、ドーパント導入およびドーパント活性化の際、サブ100nm MOSFETの拡張領域を形成するために用いたドーパント量と同等またはより高いドーパント量で、その結晶化度を保存(または維持)している。
好ましくは、本発明の半導体デバイスにおいて、フィン幅は、(約)20nmより小さいか、これと等しい。
さらに他の態様において、本発明は、整合したドーパント濃度を持つヘテロエピタキシャル接合を製造する方法に関する。該方法は、第1のドーピングレベルを有する第1半導体材料を用意するステップと、その上に、その場(in-situ)ドープのエピタキシャル成長によって第2のドーピングレベルを有する第2半導体材料を形成するステップとを含み(または、該ステップからなり)、第2半導体材料を形成する前に、第1半導体材料の上で気相堆積工程を実施し、これにより第1のドーピングレベルを、第2のドーピングレベルと等しくなる(整合する)ように調整する。
好ましくは、本発明の方法において、第1半導体材料はシリコンであり、第2半導体材料は、SiGe1−x(0<x<1)である。
さらに他の態様によれば、本発明は、歪み半導体層または狭いフィン構造(もしくは半導体構造)の等方性ドーピングを達成する気相堆積(VPD)の使用に関するものであり、フィン構造は、好ましくは、(約)20nmより小さいか、これと等しいフィン幅を有する。
さらに他の態様において、本発明は、歪み半導体層または狭いフィン構造(もしくは半導体構造)の中に核種(species)を導入するための気相堆積(VPD)の使用に関するものであり、フィン構造は、好ましくは、(約)20nmより小さいか、これと等しいフィン幅を有し、核種は、好ましくは、n型ドーパント及び/又はp型ドーパントから選択される。
しかしながら、本発明は、構成および動作方法の両方に関して、その特徴および利点とともに、添付図面とともに読む場合、下記の詳細な説明を参照することによってより良く理解されるであろう。
全ての図面は、本発明の一定の態様および実施形態を説明することを意図している。記載した図面は、概略的に過ぎず、非限定的である。
気相堆積(VPD)プロセスを概略的に示す。基板(S)は、SiまたはGeまたはSOI/GeOI構造(8)などのバルク半導体材料と、露出した歪み半導体層または半導体構造(10)とを備える。核種含有層(12)は、(a)被覆率<1、(b)被覆率=1、層厚=1単分子層(ML)、(c)被覆率=1、層厚>1単分子層、を有する。被覆率は、核種で覆われたエリアと、全体露出エリアとの比率として定義される。 VPD BドープSi基板(ブランケットウエハ)についてSIMS(二次イオン質量分析法)の結果であり、(1)堆積したままの(as-deposited)のBプロファイル、(2)熱活性化アニール後のBプロファイルを示す。 Si基板の上部に化学酸化物を有するVPD BドープサンプルについてのSIMSの結果であり、(1)堆積したままの(as-deposited)のBプロファイル、(2)熱活性化アニール後のBプロファイルを示す。 原子層エピタキシー(ALE: Atomic Layer Epitaxy)プロセスを概略的に示し、基板(S)は、バルク半導体材料(例えば、SiまたはGe)あるいはSOI/GeOI構造(8)と、露出した歪み半導体層/半導体構造(10)とを備える。さらに、図面は、核種含有層(12)と、核種含有層の上に直接にエピタキシャル成長/堆積した半導体材料(14)を参照している。 FinFETデバイスの断面を示し、(a)上部のみ拡張部、(b)等方的拡張部を備える。図5(c)は、FinFETデバイスのシミュレーションしたデバイス電流を示し、(a)上部のみ拡張部、(b)等方的拡張部を備える。 サブ−20nm幅のSiフィンの断面透過電子顕微鏡(XTEM)像を示す。a−SiはアモルファスSi、c−Siは結晶Si、poly−Siは多結晶Siであり、(a)Asの深い(deep)注入後、(b)As注入と、600℃、60秒のアニールの後、(c)As注入と、1050℃での急速加熱アニール(RTA)の後、である。 フィン形状を持つバルクSi上で、600℃、20torr(263Pa)の圧力でB VPD堆積によって形成された接合についてのSSRM(走査型広がり抵抗顕微鏡)像(左側)、および計算した接合深さ(右側)を示す。 その場(in-situ)ドープのSiGe1−x(0<x<1)から下地(初期はアンドープ)のSiへの外部拡散(out-diffusion)の後、SiGe1−x(0<x<1)/Si界面でのホウ素プロファイルを示し、(1)15%Ge、急速加熱アニール(RTA)なし、(2)15%Ge、RTA有り、(3)25%Geである。
本発明について、本発明の幾つかの特定の実施形態の詳細な説明によってさらに説明する。本発明の他の実施形態が、本発明の真の精神または技術的教示から逸脱することなく、当業者の知識に従って構成可能であることは明らかである。
下記の詳細な説明では、本発明の充分な理解を提供し、特定の実施形態においてどのように実用化できるかを提供するために、多数の具体的な詳細を記述している。しかしながら、本発明は、これらの特定の詳細なしでも実用化できることは理解されよう。他の例では、本発明を曖昧にしないために、周知の方法、手順、手法は、詳細には説明していない。本発明は、特定の実施形態に関して一定の図面を参照して説明しているが、参照はこれに限定されない。ここに含まれる図面は、概略的なものであり、本発明の範囲を限定するものでない。図面において、幾つかの要素のサイズは強調していることがあり、説明目的のため、スケールどおりに描いていない。
さらに、説明での用語「第1」「第2」などは、類似の要素を区別するために使用しており、必ずしも時間的または空間的な順番をランキングや他の方法で記述するためではない。ここで使用した用語は、適切な状況下で交換可能であり、ここで本発明の実施形態は、ここで説明したり図示したものとは別の順番で動作可能であると理解すべきである。
用語「備える、含む(comprising)」は、それ以降に列挙された手段に限定されるものと解釈すべきでなく、他の要素またはステップを除外していない。記述した特徴、整数、ステップまたは構成要素の存在を、参照したように特定するように解釈する必要があるが、1つ又はそれ以上の他の特徴、整数、ステップまたは構成要素、あるいはこれらのグループの存在または追加を除外していない。
本明細書中での「一実施形態」または「実施形態」とは、該実施形態に関連して説明された特定の特徴、構造または特性が、本発明の少なくとも1つの実施形態に含まれることを意味する。本明細書中の各所で「一実施形態では」または「実施形態では」の文言は、必ずしも全て同じ実施形態を参照していないが、その場合もあり得る。さらに、特定の特徴、構造または特性は、本開示から当業者に明らかなように、1つ又はそれ以上の実施形態において任意の適切な方法で組み合わせてもよい。
同様に、本発明の例示の実施形態の説明において、開示を合理化し、1つ又はそれ以上の種々の発明態様の理解を助ける目的で、本発明の種々の特徴が時には1つの実施形態またはその説明においてグループ化されていると理解すべきである。しかしながら、この開示方法は、請求項の発明は、各請求項で明記されたものより多くの特徴を要求する意図を反映したものと解釈すべきでない。むしろ下記請求項が反映するように、発明態様が、1つの前述の開示した実施形態の全ての特徴より少ないところにある。こうして詳細な説明に続く請求項は、この詳細な説明に明示的に組み込まれており、各請求項は、本発明の別個の実施形態として自立している。
さらに、ここで説明した幾つかの実施形態は、他の実施形態に含まれる幾つかの他の特徴を含むとともに、異なる実施形態の特徴の組合せは、当業者によって理解されるように、本発明の範囲内にあって、異なる実施形態を形成することを意味する。例えば、下記請求項において、請求された実施形態の何れかが任意の組合せで使用できる。
さらに、説明および請求項での用語「上(top)」、「下(bottom)」、「の上に(over)」、「の下に(under)」等は、説明目的で使用しており、必ずしも相対的な位置を記述するためのものでない。こうして用いた用語は、適切な状況下で交換可能であって、ここで説明した本発明の実施形態がここで説明または図示した以外の他の向きで動作可能である。
ここで、特定の化学名または化学式が与えられた場合、材料は、化学名で特定される化学量論的に正確な化学式の非化学量論的変動を含む。化学式において元素近くの数値添字の欠落は、数字(1)を意味する。正確な化学量論的数字の±20%の範囲内の変動は、この目的では、化学名または化学式に含まれる。代数的添字が付いた場合、約±20%の範囲内の変動は、各添え字の値に関して含まれる。こうした変動する値は、必ずしも自然数となって加算されず、この逸脱は考慮されている。こうした変動は、意図した選択、プロセス条件の制御、あるいは意図しないプロセス変動に起因して生じ得る。
本発明において、用語「III−V化合物半導体」は、元素周期表のIII族からの少なくとも1つの元素と、元素周期表のV族からの少なくとも1つの元素を含む半導体材料を意味する。典型的には、III−V化合物半導体は、III/V元素を含む二元、三元または四元合金である。本発明で使用可能なIII−V化合物半導体の例は、これに限定されないが、GaAs,InP,InSb,InGaAs,AlGaAs,InAIAs,InAlAsSb,InAlAsP,InGaAsPの合金を含む。
歪みシリコン技術は、改善されたキャリア輸送特性に起因して金属酸化膜半導体電界効果トランジスタ(MOSFET)の性能を改善する有望なルートである。
特に、二軸性(abiaxially)歪みシリコン・オン・インシュレータ基板(略してSSOI)が、将来のCMOS技術ノードにとって有望な歪み工学の起点である。Si層内の引張応力は、歪無しSOIと比べて増加したキャリア移動度を生じさせる。これにより、改善した駆動電流をもたらす。
薄いSi層が、Siより大きな格子面間隔を有する緩和SiGeバッファ層の上に疑似整合的(pseudomorphically)に成長した場合、Si層は、横方向に延びて、垂直方向に縮むことによってSiGeテンプレートと一致するようになり、二軸性歪みを生じさせる。これは、歪無しSiと比べて輸送特性を改善する。トランジスタチャネルとして二軸性引張歪みSiを適用することによって、電子および正孔の移動度の両方への改善は、実証されている。
高性能CMOSの開発において、一軸性歪みもキャリア移動度を改善するために用いられる。この手法では、チャネルに対して好ましい方向に著しい歪みがデバイスに加わる。これは、選択した近接エリアでのストレス因子(stressor)の組み込み、または膜特性を局所的に変化させることによって達成される。
歪みSi MOSFETのスケーリングが続くと、性能改善は、プロセス中の劣化、特にイオン注入および熱処理の効果に対して影響を受けやすくなることがある。
詳細には、ゲート下方のイオン注入量(例えば、ハロー(halo)注入および拡張部(extensions)注入と関連する)は、スケーリングとともに増加する。さらに、ソース/ドレイン拡張領域と関連した損傷は、デバイスの縮小(scaled)とともに、チャネルのより大きな部分を含むことがある。イオン注入損傷は、歪み緩和または下地層からの核種(species)(例えば、Ge)の上方拡散を支援する点欠陥を供給することがある。
さらに、熱アニール後に残留する残余のイオン注入損傷は、キャリア散乱中心として働くことがある。歪みSi膜において、熱処理、例えば、ポスト注入アニールが、ミスフィット転位を引き起こすことがあり、歪み緩和および増強した不純物拡散をもたらし、最終的には減少したキャリア移動度を生じさせる。
チャネル内での誘起した歪みに起因した移動度の増強は、超短プレーナデバイスでは失われる。これは、拡張部(Extension)およびHDD(高ドープドレイン: Highly Doped Drain)製造モジュールの際に生ずる層緩和によって説明できる。イオン注入は、拡張部及び/又はソース/ドレインエリアをアモルファス化し、再結晶プロセスの際、最短チャネル長さ(例えば、サブ−100nm MOSFET)では、歪みが失われる。
本発明の一態様では、核種を歪み半導体層の中に導入する方法が開示される。該方法は、露出した歪み半導体層を含む第1領域を備えた基板を、反応チャンバの中に用意すること、少なくとも露出した歪み半導体層の上に、気相堆積(VPD: vapor phase deposition)によって等方性の第1核種含有層を形成することと、続いて、熱処理を実施し、これにより第1核種含有層から第1核種の少なくとも一部を、(露出)歪み半導体層の中に拡散し、(露出)歪み半導体層の中に拡散した第1核種の少なくとも一部を活性化することとを含む。
本発明の状況において、用語「露出した歪み半導体層」とは、他の層で覆われていない、歪み半導体層の一部を意味する。また、本発明の状況において、用語「拡散した第1核種を活性化する」とは、拡散した第1核種を(露出)歪み半導体層の格子における置換サイトへ持ち込むことによって、拡散した第1核種の電気的活性化を発現させることを意味する。
少なくとも露出した歪み半導体層の上に、気相堆積(VPD)によって等方性の第1核種含有層を形成することは、選択プロセス、例えば、露出した歪み半導体層の上にのみ等方性の第1核種含有層を形成すること、あるいは、非選択プロセス、例えば、露出および未露出の歪み半導体層の両方の上に等方性の第1核種含有層を形成すること、を含むことができる。しかしながら、少なくとも露出した歪み半導体層の上に、気相堆積(VPD)によって等方性の第1核種含有層を形成する他の適切なプロセスが、当業者によって容易に認識されるであろう。説明を通じて、「等方性の層」とは、ここでは、その層が付着/形成されるデバイス/構造の形状に追従する層を意味する。
他の態様において、本発明は、基板は、露出した歪み半導体層を含む第2領域を備える方法を開示する。該方法は、第1領域の露出した歪み半導体層の中に第1核種を拡散した後、拡散マスクを形成し、これにより基板の第1領域を覆うことと、反応チャンバ内で、(第2領域の)少なくとも露出した歪み半導体層の上に、気相堆積(VPD)によって等方性の第2核種含有層を形成することとを含む(または、からなる)。このステップで使用される反応チャンバは、第1核種含有層を形成するときに用いるのと同じ反応チャンバでもよく、異なる反応チャンバでもよい。これらの実施形態において、該方法はまた、第2熱処理を含み、これにより第2核種含有層から第2核種の少なくとも一部を(第2領域の)(露出)歪み半導体層の中に拡散し、拡散した第1核種および(露出)歪み半導体層の中に拡散した第2核種の少なくとも一部を活性化することを含む。
基本的に、気相堆積(VPD)は、(好ましくは)例えば、(好ましくは)p型ドーピングではジボラン(B)、n型ドーピングではアルシン(AsH)、ホスフィン(PH)などの前駆体ガスの熱分解によって、核種(またはドーパント)が基板(例えば、好ましくは、半導体材料または歪み半導体材料)の上に直接に堆積する化学気相成長(CVD)プロセスである。この堆積は、デバイス形状に追従して、等方性の層をもたらす。しかしながら、気相堆積のための他のプロセスが当業者によって容易に認識されるであろう。これらは本発明の状況における使用に適切である。
堆積ステップの後、熱処理(例えば、第1/第2熱処理)(アニール)が、核種(またはドーパント)を基板、例えば、(好ましくは)歪み層または他の半導体構造の中に拡散させるために必要になる。この分野で周知である何れの熱処理も本発明の状況において使用できる。こうした適切な熱処理は、当業者によって容易に識別され、これに限定されないが、急速加熱処理(RTP)、急速加熱アニール(RTA)、レーザアニール、またはこれらの何れかの組合せを含む。典型的な急速加熱処理(RTP)は、基板を、好ましくは(約)1050℃の目標温度まで上昇し、基板を目標温度で、ある時間(ソーク時間(soak time))浸し、基板を好ましくは(約)200℃の基礎温度まで降下させる。「スパイクアニール」の場合、過剰なドーパント拡散を防止するために用いられる典型的なRTPであり、高い傾斜レート(ramp rate)、好ましくは(約)75℃/秒またはそれ以上であり、ソーク時間は短い(約1秒)または無しが望ましい。
好ましい態様によれば、ここで使用する(第1及び/又は第2)熱処理は、急速加熱処理であり、より好ましくはスパイクアニールである。好ましくは、急速加熱処理は、(約)800℃〜(約)1300℃、好ましくは(約)900℃〜(約)1200℃、より好ましくは(約)1000℃〜(約)1100℃の温度,最も好ましくは(約)1050℃の温度で行う。好ましくは、急速加熱処理のためのソーク時間(soak time)は、(約)0.5秒〜(約)1.5秒であり、より好ましくは、急速加熱処理のためのソーク時間は(約)1秒である。代替として、急速加熱処理は、ソーク時間無しで実施する。より好ましい態様において、ここで使用する(第1及び/又は第2)熱処理は、急速加熱処理であり、より好ましくは、(約)1050℃の温度で(約)1秒のスパイクアニールである。
代替として、(第1及び/又は第2)熱処理は、レーザアニールである。好ましくは、レーザアニールは、サブ溶融(sub-melt)ミリ秒アニールである。特定の態様によれば、サブ溶融(sub-melt)ミリ秒アニールは、(約)808nmのレーザ波長で、(約)0.5msの滞留時間(dwell time)に対応した(約)150mm/sの固定した走査速度のダイオードバーレーザシステムを用いて実施される。図1は、基板(S)上での気相堆積(VPD)プロセスを概略的に示す。基板(S)は、例えば、Si,GeまたはSOI/GeOIスタックなどのバルク半導体材料(8)と、核種含有層(12)の露出歪み半導体層または半導体構造(10)とを備える。下記の実施形態を概略的に表す。(a)被覆率<1、(b)被覆率=1、層厚=1単分子層(ML)、(c)被覆率=1、層厚>1ML。ここで、被覆率は、核種で覆われたエリアと、全体露出エリアとの比率として定義される。
本発明の幾つかの態様において、第1及び/又は第2核種含有層は、不連続層であり、1未満の被覆率を有する。
本発明の幾つかの態様において、第1及び/又は第2核種含有層は、連続層であり、1と等しい被覆率と、少なくとも1単分子層の層厚を有する。
本発明の幾つかの態様において、露出した歪み半導体層は、自然酸化物層を上部に備える(例えば、好ましくは、露出したSi歪み層の場合、薄いSiO層)。これらの態様では、本発明の方法は、露出した歪み半導体層から自然酸化物層を除去することをさらに含むことができる。自然酸化物層は、基板を反応チャンバの中に投入する前に実施されるウェットクリーニング工程によって、または、その場(in-situ)の反応チャンバ内で、H雰囲気(Hベーク)の熱処理によって、または、ウェットクリーニングおよびその場(in-situ)熱処理の両方の組合せによって、除去可能である。
本発明の幾つかの態様において、等方性の第1核種含有層及び/又は第2核種含有層を形成する前に、拡散制御層(DCL)が(好ましくは)少なくとも露出した歪み半導体層の上に形成される。ここで使用する適切な拡散制御層は、当業者にとって容易に明らかとなろう。
本発明の異なる態様において、第1核種および第2核種は、(好ましくは)ドーパントである。好都合には、幾つかの実施形態において、第1核種はn型ドーパントで、第2核種はp型ドーパントである。
等方性の第1及び/又は第2核種含有層を形成することは、(好ましくは)n型ドーパントでは、対応するドーパント脱離限界より低い温度で、p型ドーパントでは、ドーパント内部拡散限界より低い温度で実施する必要がある。ドーパント脱離限界は、ドーパントが基板から脱離を開始する温度として定義される。ドーパント内部拡散限界は、ドーパントが基板内に拡散を開始する温度として定義される。
本発明の幾つかの態様において、n型ドーパントは、(好ましくは)アルシン(AsH)、ホスフィン(PH)またはこれらの混合物からなるグループから選択される。好都合には、等方性のアルシン/ホスフィン含有層を形成することは、ドーパント脱離限界より低いか、これと等しい温度で実施される。アルシンの場合、ドーパント脱離限界は(約)600℃である。
他の態様において、p型ドーパントは、(好ましくは)ジボランからなる。好都合には、等方性のジボラン含有層を形成することは、ドーパント内部拡散限界より低いか、これと等しい温度で実施される。ジボランの場合、ドーパント内部拡散限界は(約)700℃である。
本発明の特定の態様において、第1核種は(好ましくは)n型ドーパント(好ましくはアルシン、ホスフィン)であり、第2核種はp型ドーパント(ジボラン)である。より好ましくは、n型ドーパントは、アルシンであるように選択される。
本発明の異なる態様において、基板は、歪み(半導体)層の下方において、(好ましくは)バルクシリコン、シリコン・オン・インシュレータ(SOI)、SOI上のSiGe1−x(0<x<1)、Si上のSiGe1−x(0<x<1)、バルクGe、またはこれらの混合物を含む。
本発明の異なる態様において、歪み半導体層は、(好ましくは)歪みSi、歪みGeなどの歪みIV材料、歪みSiGe1−x、歪みSi1−x、歪みGeSn1−x、歪みGeSiSn1−x−y(いずれもx,yは実数、0<x,y<1)などの歪みIV−IV材料、歪みIII−V材料、またはこれらの混合物を含む。
本発明の幾つかの特定の態様において、基板は、(好ましくは)SOI、歪みSiを含む。
本発明の特定の態様において、拡散制御層は、(好ましくは)シリコン酸化物である。好都合には、シリコン酸化物は、酸化化学反応のウェット処理によって形成される。
本発明の更なる特定の態様において、熱処理(例えば、第1及び/又は第2熱処理)は、(好ましくは)(約)1050℃の温度で(約)1秒のスパイクアニールである。しかしながら、これは、許容できる熱収支(thermal budget)について例示の非限定的な例である。統合機構に応じて他の組合せが可能である。こうした組合せを見つけることは、当業者の実践内である。
本発明の幾つかの態様において、等方性の第1及び/又は第2核種含有層を形成することは、第1及び/又は第2核種含有前駆体(precursor)およびキャリアガスを反応チャンバ内に注入することを含む。好ましくは、キャリアガスは、N,H,Heまたはこれらの混合物からなるグループから選択される。より好ましくは、キャリアガスは、Nであり、より高い堆積レートという追加の利点を有する。
本発明の幾つかの態様は、第1及び/又は第2核種含有層を、(約)10torr(131Pa)〜(約)1atm(10kPa)の圧力で形成することを開示する。反応チャンバは、例えば、エピタキシャル反応容器、低圧化学気相成長(LPCVD)チャンバ、減圧化学気相成長(RPCVD)チャンバ、常圧化学気相成長(APCVD)チャンバ、超高真空気相成長(UHV−CVD)、またはガスソース分子線(GSMBE)チャンバでもよい。好ましくは、ここで使用する反応チャンバは、減圧化学気相成長(RPCVD)チャンバである。
本発明の他の態様において、半導体デバイスが開示されており、チャネル領域と、チャネル領域に近接し、ドーパントで改質した少なくとも歪み領域を含む基板を備え、歪み領域は、ドーパントを導入する前に、チャネル領域においてキャリア移動度の増強を誘発するものであり、歪み領域によって誘発されたキャリア移動度の増強は、ドーパント導入およびドーパント活性化の際、サブ100nm MOSFETの拡張領域を形成するために従来から用いたドーパント量と同等またはより高いドーパント量に、本質的に(または実質的に)保持(または維持)されている。
以下、移動度の増強が、1より大きい増強係数に対応している。増強係数は、歪みデバイスのキャリア移動度と歪無しデバイスのキャリア移動度との比率として定義される。サブ100nm MOSFETトランジスタの拡張部注入を形成するために通常用いられる典型的なドーパント量は、例えば、歪みSi基板において、5x1014cm−2 ホウ素 10keV(p型ドーパント)、または1x1015 cm−2 ヒ素 30keV(n型ドーパント)である。サブ100nm MOSFETトランジスタの拡張領域を形成するために通常用いられるドーパント量と等しいか、これより高いドーパント量は、歪みシリコン中に5x1014cm−2 ホウ素 10keVでイオン注入したものと少なくとも同じ損傷を基板中に生成するドーパント量である。歪みSi中にイオン注入したB及び/又はAsによって生成される損傷は、イオン注入および高温(例えば、1000℃)アニールの際、歪みSi基板のアモルファス化の割合および、歪みSi基板に形成されたデバイスのキャリア移動度の減少によって定量化できる。本発明の方法は、損傷を防止し、歪み基板の利点を不変に維持する。
幾つかの態様において、歪み領域は、歪み半導体層、例えば、(好ましくは)トランジスタの拡張部及び/又はソース/ドレイン領域が形成される歪みSi層である。特定の態様において、ドーパントで改質された歪み領域は、(好ましくは)トランジスタの拡張領域及び/又はソース/ドレイン領域である。
異なる態様において、基板は、歪み領域の下方において、バルクシリコン、シリコン・オン・インシュレータ(SOI)、Si上の緩和SiGe、バルクGe、またはこれらの混合物を含む。
プレーナ接合と比較して、一般にFinFETまたはMuGFETと称されるマルチゲート3D構造上の接合形成は、等方性のドーピングプロファイルを達成する際に追加の挑戦を提示する。詳細には、使用するイオンビームの単一指向性、および積み上げ構造(フィン)での斜影(shadowing)効果のため、従来のイオン注入技術を用いて等方性のFinFET接合を達成することは困難になり得る。
特に、極めて狭いフィンでは、例えば、(約)20nm未満のフィン幅の場合、従来のイオン注入工程の結果として形成されるアモルファスSi(アモルファス化)は、熱アニールによって、結晶Siに完全に回復(再成長)できない。高温(例えば、1050℃未満)でも、フィンの上部には結晶Siの代わりにポリSiが形成され、後続の選択エピタキシャル成長(SEG)の際、より低い選択性およびフィン細線化(thinning)をもたらす。
本発明の異なる態様において、核種(species)を狭いフィン構造(例えば、FinFETデバイス)の中に導入して、等方性のドーピングプロファイルを形成する方法が開示される。該方法は、初期の結晶化度を有し、あるフィン幅を有する、半導体材料中に作成され、少なくとも露出したフィン構造を含む基板を、反応チャンバの中に用意することと、少なくとも露出したフィン構造の上に、気相堆積(VPD: vapor phase deposition)によって等方性の核種含有層を形成することと、続いて、熱処理を実施し、これにより核種含有層から核種の少なくとも一部を、(露出)フィン構造の中に等方的に拡散し、露出フィン構造の中に拡散した核種の少なくとも一部を活性化し、これによりフィン幅の1/2より大きいまたはこれに等しい深さを持つ等方性のドーパントプロファイルを形成し、半導体材料の初期の結晶化度を保存することとを含む。
本発明の状況において、用語「等方性のドーパントプロファイル」とは、フィン構造の形状に追従するドーパントプロファイルを参照することを意味する。用語「露出したフィン構造」とは、ここでは、何れか他の層によって覆われていないフィン構造を記述することを意味する。
本発明の方法の状況において、この分野で周知である何れの熱処理もここでは使用してもよい。こうした適切な熱処理は、当業者によって容易に識別され、これに限定されないが、急速加熱処理(RTP)、急速加熱アニール(RTA)、レーザアニール、またはこれらの何れかの組合せを含む。典型的な急速加熱処理(RTP)は、基板を、好ましくは(約)1050℃の目標温度まで上昇し、基板を目標温度で、ある時間(ソーク時間(soak time))浸し、基板を好ましくは(約)200℃の基礎温度まで降下させる。「スパイクアニール」の場合、過剰なドーパント拡散を防止するために用いられる典型的なRTPであり、高い傾斜レート(ramp rate)、好ましくは(約)75℃/秒またはそれ以上であり、ソーク時間は短い(約1秒)または無しが望ましい。
好ましい態様によれば、ここで使用する熱処理は、急速加熱処理であり、より好ましくはスパイクアニールである。好ましくは、急速加熱処理は、(約)800℃〜(約)1300℃、好ましくは(約)900℃〜(約)1200℃、より好ましくは(約)1000℃〜(約)1100℃の温度,最も好ましくは(約)1050℃の温度で行う。好ましくは、急速加熱処理のためのソーク時間(soak time)は、(約)0.1秒〜(約)1.5秒であり、より好ましくは(約)0.5秒〜(約)1.5秒であり、さらに好ましくは急速加熱処理のためのソーク時間は(約)1秒である。代替として、急速加熱処理は、ソーク時間無しで実施する。より好ましい態様において、ここで使用する(第1及び/又は第2)熱処理は、急速加熱処理であり、より好ましくは、(約)1050℃の温度で(約)1秒のスパイクアニールである。
代替として、熱処理は、レーザアニールである。好ましくは、レーザアニールは、サブ溶融(sub-melt)ミリ秒アニールである。特定の態様によれば、サブ溶融(sub-melt)ミリ秒アニールは、(約)808nmのレーザ波長で、(約)0.5msの滞留時間(dwell time)に対応した(約)150mm/sの固定した走査速度のダイオードバーレーザシステムを用いて実施される。
本発明の特定の態様は、気相堆積(VPD)によって、完全に等方性のp型およびn型の拡張部を、(約)20nmより小さい、より好ましくは(約)10nmより小さいフィン幅を持つフィン形状(FinFET)を備えたマルチゲートトランジスタに形成することを開示する。
図6および図6を説明する対応した段落に示すように、フィン幅の1/2と等しいか、これより大きいドーパントプロファイル深さを目的として、サブ100nm MOSFETの拡張領域を形成するために従来から用いたドーパント量に対応したドーパント量で、狭いフィン構造へ(従来の)イオン注入を実施した場合、(従来の)イオン注入の際、フィン構造のアモルファス化が生じ、続いてフィン構造を完全に再結晶化するために残るシリコン種(seed)が充分には存在しない。高温アニールの時でも、フィン構造の上部は、多結晶のままである。
好都合には、FinFETの拡張領域は、気相堆積(VPD)および続く熱アニールによって形成できる。この場合、ドーパントをフィンの中に拡散させる熱処理(アニール)は、HDD(高ドープドレイン)注入の活性化アニールと同時に実施可能であり、こうして全体の熱収支を低減し、製造フローを簡略化できる。従来のCMOSフローでは、拡張部形成はHDD注入より前に行われ、これらのHDD注入を活性化するスパイクアニールは、堆積した拡張部ドーパントの内部拡散のために充分な熱収支を提供する。
幾つかの場合、HDD注入後の活性化アニールの熱収支は大きくなり過ぎることがあり、深すぎる拡張部ドーパントプロファイルを生じさせる。この不要な効果を防止するために、本発明の異なる態様は、VPDより前に、等方性の誘電体を半導体基板またはフィン構造の上に堆積/成長させることを開示する。誘電体は、活性化アニールの際、ドーパントのための拡散制御層(DCL)として機能し、より浅いドーパントプロファイルを生じさせる。誘電体は、犠牲的であり、活性化アニールの後、例えば、HFベースのウェットエッチング処理によって除去可能である。
誘電体の組成および厚さならびに気相堆積パラメータは、半導体基板(例えば、歪み層)または半導体構造(例えば、フィン構造)において要求される最終ドーパントプロファイル(活性化アニール後)を得るために変更できる。パラメータ(最適化)の適切な組合せを見つけることは、当業者の実践内である。典型的には約1nmの厚さを持つ拡散制御層は、酸化性混合物中でクリーニング処理、例えば、IMECクリーニングによって形成できる。IMECクリーニングは、クリーニング手順の最後に、O/脱イオン水処理を実施することによって、化学(ウェット)酸化物を形成することを含む。
VPDプロセスの温度及び/又は圧力範囲は、堆積の際、フィン構造が作成される半導体材料のリフローを防止するように選択される。本発明の状況において、用語「リフロー」とは、VPDプロセス条件の結果として、フィン構造の半導体材料の表面拡散を記述することを意味する。これは、狭いフィン構造の場合、例えば、(好ましくは)20nm未満、より好ましくは(約)10nm未満の幅の場合、特に重要である。リフローは、フィン形状を大きく歪ませることがあるためである。リフローが発生するときの具体的な条件は、半導体材料(Si,Ge,III−V化合物など)に依存する。Siの場合、(約)600℃より上で、減圧(10〜40torr)下で著しいリフローが観察され、このことは、露出したシリコンのVPDプロセスウインドウを(約)600℃未満の温度、または(約)40torrより高い圧力に制限する。
好都合には、基板上部の拡散制御層(誘電体)及び/又は半導体材料を含有するフィン構造は、下地の半導体材料のリフローを防止し、その結果、前段落で言及したVPD(温度/圧力)プロセスウインドウを広げる。
図2は、VPD BドープSi基板(ブランケットウエハ)についてSIMS(二次イオン質量分析法)の結果を示し、(1)堆積したままの(as-deposited)のBプロファイル、(2)(約)1050℃、(約)1秒、N下の熱活性化アニール後のBプロファイルを示す。両方の場合、正確な測定を可能にするため、SIMS測定の直前にキャップ層を(約)600℃で堆積した。
図3は、Si基板の上部に化学酸化物を有するVPD BドープサンプルについてのSIMSの結果であり、化学酸化物は、VPD工程の前にIMECクリーンによって形成しており、(1)堆積したままの(as-deposited)のBプロファイル、(2)(約)1050℃、(約)1秒、N下の熱活性化アニール後のBプロファイルを示す。両方の場合、正確な測定を可能にするため、SIMS測定の直前にキャップ層を(約)600℃で堆積した。
堆積したままののピーク(図2と図3のカーブ1)を比較すると、シリコン(100)と比べて、酸化物ではより低いホウ素量を示し(酸化物での1x1015cm−2 対 Siでの1.7x1015cm−2)、Siと比べて酸化物ではより低い付着係数を示している。より低い付着係数を補償するため、初期の堆積量は、堆積時間またはドーパント前駆体フローを調整することによって変更できる。図2と図3に示すように、酸化物上に堆積したホウ素は、かなり浅い内部拡散プロファイルを示し(図2と図3のカーブ2)、薄い化学酸化物が有効な拡散制御層として機能することを示す。図面から推定できるように、第1のケース(Si上)の内部拡散深さは、約27nmであり、第2のケース(酸化物上)の内部拡散深さは、約16nmである。
本発明の代替の態様において、該方法は、フィン構造が作成される半導体材料のエピタキシャル単分子層を、等方性の核種含有層の上に成長させることをさらに含み(または、からなり)、これによりフィン構造での置換サイトに核種を導入/組み込む。気相堆積(VPD)とエピタキシャル成長のこの組合せは、ここでは原子層エピタキシー(ALE)と称している。
好都合には、等方性の核種含有層を形成して、半導体材料のエピタキシャル単分子層を成長させるシーケンスは、少なくとも2回繰り返し可能であり、これにより半導体基板に組み込み/導入した核種の濃度を増加させる。
本発明の異なる態様において、核種はドーパントであり、全てのドーパント堆積ステップ(VPD)は、半導体材料(Si,Ge,SiGe1−x(0<x<1)など)のエピタキシャル堆積/成長が続き、続いて、新しいドーパント堆積を実施できる。このシーケンス VPD−エピタキシャル成長は、要求される厚さ及び/又はドーパント濃度に達するまで、多数回(サイクル)繰り返し可能である。1つのサイクルで堆積したドーパント量は、半導体材料の単分子層の同等量を超えない。その結果、ドーパントは、エピタキシャル堆積の際、置換サイトに全体に/完全に組み込まれ、(追加の)活性化アニール(即ち、熱処理)が必要でないという利点を有する。
幾つかの態様において、シーケンスの間で第2半導体材料のエピタキシャル単分子層が形成される。その結果、ヘテロエピタキシャル半導体材料(例えば、1つのSi単分子層、1つのGe単分子層)がドーパント含有層の上に形成され、シーケンス VPD−エピタキシャル成長は、多数回(サイクル)繰り返される。
図4は、原子層エピタキシー(ALE)プロセスを概略的に示し、基板(S)は、バルク半導体材料(例えば、SiまたはGe、あるいはSOI/GeOIスタック)(8)と、露出した半導体構造(10)とを備える。露出した半導体構造(10)の上に、核種含有層(12)および半導体材料含有層(14)が1つのVPD−エピタキシャル成長シーケンスで堆積される。幾つかの態様において、このシーケンスは「n」回(サイクル)繰り返し可能であり、各サイクルは、核種含有層(12)を堆積することと、半導体材料の単分子層(14)形成することとを含む(からなる)。
好都合には、原子層エピタキシーを使用した場合、極めて高い活性レベルが得られ、ドーパントの固溶限より高くなる。これは、成長プロセスは、ドーパントの表面拡散温度未満で実施できる非平衡プロセスであるためである。
本発明の異なる態様において、半導体デバイスが開示され、あるフィン幅を有し、ドーパントで改質され、等方性のドーパントプロファイルおよびドーパントプロファイル深さを有するフィン構造を含む基板を備え、フィン構造は、ドーパント導入前の初期の結晶化度を有する半導体材料中に作成され、ドーパントプロファイル深さは、フィン幅の1/2より大きいまたはこれに等しく、フィン構造は、ドーパント導入およびドーパント活性化の際、サブ100nm MOSFETの拡張領域を形成するために用いたドーパント量と同等またはより高いドーパント量で、その結晶化度を保存(または維持)している。
本発明の状況において、用語「等方性のドーパントプロファイル」とは、フィン構造の形状に追従するドーパントプロファイルを参照することを意味する。用語「ドーパントプロファイル深さ」とは、ドーパントがフィン構造内に侵入した全体距離(フィン構造の露出した表面に垂直な方向に測定)を参照することを意味する。
サブ100nm MOSFETの拡張領域を形成するために従来用いたドーパント量の典型的な例は、明細書の他の箇所で検討している。
本発明の方法を用いて製造した等方性ドープ接合は、より高い駆動電流を得ることが可能である。図5は、FinFETデバイスの断面を示し、(a)上部のみ拡張部、(b)等方的拡張部を備える。図5(c)は、FinFETデバイスのシミュレーションしたデバイス電流を示し、(a)上部のみドープした接合、(b)等方ドープした接合を備える。(約)35nmの幅、(約)60nmの高さを持つフィン構造のFinFETの等方性ドーピングでは、Vdd=1.2Vで、駆動電流の倍増が推測される。
本発明の追加の利点は、気相堆積/原子層エピタキシー(ALE)が狭いフィン構造のアモルファス化を防止できることである。特に、極めて狭いフィンでは、例えば、(約)20nm未満のフィン幅の場合、従来のイオン注入工程の結果として形成されるアモルファスSiは、熱アニールによって、結晶Siに完全に回復(再成長)できない。高温(例えば、1050℃未満)でも、フィンの上部には結晶Siの代わりにポリSiが形成され、後続の選択エピタキシャル成長(SEG)の際、より低い選択性およびフィン細線化(thinning)をもたらす。
上記と合わせて、図6は、サブ−20nm幅のSiフィンの断面透過電子顕微鏡(XTEM)像を示す。a−SiはアモルファスSi、c−Siは結晶Si、poly−Siは多結晶Siであり、(a)約16nmのドーパントプロファイル深さを持つAsの深い(deep)注入後、(b)As注入と、(約)600℃、60秒のアニールの後、(c)As注入と、(約)1050℃での急速加熱アニール(RTA)の後、である。図6(a)に示すように、Asの深い注入後、上部50nmのアモルファス化が観察され、上部50nmは、アモルファスSiに対応して淡灰色を有する。図6(b)に示すように、(約)600℃、(約)60秒の熱アニールの後、フィン構造の底部において、僅かに大きいc−Si領域(濃灰色)によって不完全な再成長が観察される。図6(c)に示すように、(約)1050℃でのRTA後は、完全な再成長が観察されるが、多くの双晶境界欠陥がまだ存在している。さらに、上部25nmは、多結晶シリコン(図では淡灰色)に変換しており、後続の選択エピタキシャル成長(SEG)の際、追加のフィン細線化をもたらす。選択エピタキシャル成長が反応性堆積とエッチング工程の組合せであり、両方の反応が同時に生ずるため、追加のフィン細線化が発生する。SEGのプロセス条件は、優先的な半導体堆積が結晶半導体(例えば、Si)表面の上で発生し、そして、優先的なエッチングがマスキング表面(例えば、酸化物および窒化物)の上で発生するように選択される。これは、開放した半導体表面上における半導体材料の堆積と、マスキング表面上で半導体材料の堆積を防止することを意味する選択エピタキシャル成長を可能にする。しかしながら、半導体層の材料品質の減少とともに、エッチング速度は増加する。適切なプロセス条件が単結晶表面上での正の成長レートを持つ選択堆積を可能にする場合、エッチングレートは、多結晶半導体表面での成長レートより高くなるかもしれない。この負の成長レート(エッチング)は、不要なフィン細線化を生じさせる。
等方性のフィン拡張部ドーピングを原子層エピタキシーで実施する態様では、フィンおよびソース/ドレイン領域は、ドーピングプロセスの前に、部分的にエッチングバックが可能であり、そして、前の態様で説明したように、選択再成長が周期的な工程で続く。
特定の態様では、フィン形状を持つSiサンプル(ウエハ)を調査した。フィン形状を持つウエハは、最初にクリーニング工程(IMECクリーン)を適用して、拡散制御層として機能する薄い化学酸化物を形成し、そして、(約)600℃、20torr(263Pa)の圧力でB−VPD(B)によって接合を形成し、続いて(約)1050℃のスパイクアニールを行った。
図7は、フィン形状を持つバルクSi上で、B−VPDによって形成された接合についてのSSRM(走査型広がり抵抗顕微鏡)像(左側)、および計算した接合深さ(右側)を示す。SSRMは、半導体断面上において局所抵抗の2次元プロファイル形成のための方法であり、電子キャリアおよび不純物の分布の分析が可能になる。測定値は、(約)24〜27nmの深さで、高い等方性の接合の形成を示している。
本発明の異なる態様は、気相堆積(VPD)を用いて、例えば、(好ましくは)半導体歪み層または半導体構造(例えば、好ましくはフィン構造)などの基板の等方性ドーピングを達成しつつ、その歪み特性及び/又はその結晶化度を保存することを開示する。
本発明によれば、基板の等方性ドーピングを達成するための気相堆積(VPD)の使用は、本発明の異なる態様に関連した、単一の一般的な発明概念である。
ヘテロエピタキシャル界面での均一/整合したドーピングプロファイルを達成するための気相堆積(VPD)の使用の非限定的な例と、同時に、従来の製造フローを簡略化することについて、以下に開示している。
本発明の他の態様において、整合したドーパント濃度を持つヘテロエピタキシャル接合を製造する方法が開示され、該方法は、第1のドーピングレベルを有する第1半導体材料を用意することと、その上に、その場(in-situ)ドープのエピタキシャル成長によって第2のドーピングレベルを有する第2半導体材料を形成することとを含み(または、からなり)、第2半導体材料を形成する前に、第1半導体材料の上で気相堆積工程を実施し、これにより第1のドーピングレベルを、第2のドーピングレベルと等しくなる(整合する)ように調整する。
表現「その場(in-situ)ドープのエピタキシャル成長」とは、ここでは、ドーパントが処理チャンバ(その場(in-situ))内に供給され、成長プロセスの際に成長材料中に組み込まれるエピタキシャル成長を参照することを意味する。
特定の態様において、エピ−チップ(epi-tip)(例えば、好ましくは、SiGe1−x(0<x<1)エピタキシャル層)の形成/成長の前に、トランジスタのソース−ドレインエリアをプリドープ(pre-dope)する方法が開示され、例えば、上部Si表面近くの高い活性ドーパントレベルを、エピタキシャル成長したエピ−チップでのドーパントレベルと等しく(整合)できるようにする。その結果、SiGe1−x(0<x<1)/Si界面の両側でのドーパント濃度のより良好な整合が達成される。従来の製造フローと代替の製造フローの例について以下説明する。
進歩したCMOS製造法は、ソース/ドレイン(例えば、S/D)エリアにおいて選択成長された薄いSiまたは歪みSiGe1−x(0<x<1)層を活用しており、「エピ−チップ(epi-tip)」手法として知られている。エピ−チップの目的は3つあり、(1)例えば、SiGe1−x(0<x<1)は、Siより低いバンドギャップを有することから、エピタキシャルSiGe1−x(0<x<1)を成長させることによって、S/Dコンタクト抵抗を低減すること。(2)SOI基板の上に隆起層を形成し(例えば、その場(in-situ)ドープのエピ層を成長させたり、エピタキシャル成長後にイオン注入工程を実施することによって、S/D接合が得られる)、短チャネル効果を低減すること。(3)応力を誘起し、その結果、例えば、SiGe1−x(0<x<1)エピ層を成長させることによって、Siチャネル内のキャリア移動度を増強すること。(4)エピ−チップをバルク上で使用し、従来のイオン注入にとって接合深さの更なる低減を可能にすること。
エピ−チップを形成するために、異なる集積機構が続く。第1の手法では、最初にSi凹部が基板に形成され、エピ−チップ(エピタキシャル成長層)がチャネルと同じレベルになるようにする。この手法により、エピタキシャル成長層(例えば、SiGe1−x(0<x<1))からチャネルへの応力伝達が生じ、コンタクト抵抗の低減化が図られる。第2の手法では、エピ−チップを基板の上部に成長して、従来のイオン注入にとって接合深さの更なる低減を可能にする。この手法は、凹部を形成するために用いられるドライエッチング工程を回避するという追加の利点を有するが、Siチャネルへの応力伝達は顕著ではない。他のオプションが、凹部および隆起(elevation)の組合せである。SOI構造でのデバイスプロセスは隆起を必要とする。
エピタキシャル成長層がSiGe1−x(0<x<1)で作成される特定の場合、SiGe1−x(0<x<1)/Si界面ではなく、下地のシリコン基板の内部にHDD接合を有することは有益である。より低いSiGe1−x(0<x<1)バンドギャップは、接合漏れ(junction leakage)に悪い影響を有するためである。
従来のイオン注入を使用した場合、注入深さは、SiGe1−x(0<x<1)/Si界面より下方に選択できる。しかしながら、製造の観点から、その場(in-situ)ドープのSiGe1−x(0<x<1)を堆積し、これを拡散源として使用できることは有益である。その場(in-situ)ドープのSiGe1−x(0<x<1)での核種(例えば、ホウ素)は、熱処理の際、下地のSi基板内に拡散し、そこにHDD接合を形成する。これは、イオン注入工程の他に、レジスト堆積、リソパターニング、クリーニング工程を含む注入モジュールを省略することによって、製造フローを簡略化できる。
しかしながら、イオン注入無しで、その場(in-situ)ドープのSiGe1−x(0<x<1)を使用した場合、エピタキシャル成長したSiGe1−x(0<x<1)(エピ−チップ)での極めて高い活性化ドーパントレベルを、下地Siでのドーパントレベルと垂直方向に整合させることは困難である。図8に示すように、従来のプロセス条件を用いると、その場(in-situ)ドープのSiGe1−x(0<x<1)から下地Si(初期はアンドープ)へのホウ素の外部拡散の後でも、ホウ素濃度の異常低下がSiGe1−x(0<x<1)/Si界面で観測される。隆起したSiGe1−x(0<x<1)(Si凹部無し)の場合、このドーパント濃度低下が、シリサイドと拡張部注入との間のコンタクト抵抗の増加を生じさせる。
本発明の態様は、気相堆積(VPD)を用いて、エピ−チップ(例えば、SiGe1−x(0<x<1)エピタキシャル層)の形成/成長の前に、ソース−ドレインエリアをプリドープし、上部Si表面近くに高い活性ドーパントレベルを可能にすることを開示する。その結果、SiGe1−x(0<x<1)/Si界面の両側でのドーパント濃度のより良好な整合を達成できる。
他の態様によれば、本発明は、歪み半導体層または狭いフィン構造または半導体構造の等方性ドーピングを達成する気相堆積(VPD)の使用に関するものである。好ましくは、フィン構造は、(約)20nmより小さいか、これと等しいフィン幅を有する。
さらに他の態様において、本発明は、歪み半導体層または狭いフィン構造または半導体構造の中に核種(species)を導入するための気相堆積(VPD)の使用に関するものであり、フィン構造は、好ましくは、(約)20nmより小さいか、これと等しいフィン幅を有し、核種は、好ましくは、n型ドーパント及び/又はp型ドーパントから選択される。

Claims (33)

  1. 核種を歪み半導体層の中に導入する方法であって、
    a)露出した歪み半導体層を含む第1領域を備えた基板を、反応チャンバの中に用意するステップと、
    b)少なくとも露出した歪み半導体層の上に、気相堆積(VPD)によって等方性の第1核種含有層を形成するステップと、
    c)続いて、第1熱処理を実施し、これにより第1核種含有層から第1核種の少なくとも一部を歪み半導体層の中に拡散し、歪み半導体層の中に拡散した第1核種の少なくとも一部を活性化するステップと、含む方法。
  2. 基板は、露出した歪み半導体層を含む第2領域を備えており、
    d)第1領域の露出した歪み半導体層の中に第1核種を拡散した後、拡散マスクを形成し、これにより基板の第1領域を覆うステップと、
    e)反応チャンバ内で、少なくとも露出した歪み半導体層の上に、気相堆積(VPD)によって等方性の第2核種含有層を形成するステップと、
    f)続いて、第2熱処理を実施し、これにより第2核種含有層から第2核種の少なくとも一部を歪み半導体層の中に拡散し、拡散した第1核種及び/又は歪み半導体層の中に拡散した第2核種の少なくとも一部を活性化するステップ、とをさらに含む請求項1記載の方法。
  3. 前記熱処理は、急速加熱処理(RTP)、急速加熱アニール(RTA)、レーザアニール、またはこれらの何れかの組合せを含む請求項1または2記載の方法。
  4. 露出した歪み半導体層は、自然酸化物層を上部に備えており、
    露出した歪み半導体層から自然酸化物層を除去するステップをさらに含む請求項1〜3のいずれかに記載の方法。
  5. 等方性の第1核種含有層及び/又は第2核種含有層を形成する前に、拡散制御層(DCL)が少なくとも露出した歪み半導体層の上に形成される請求項1〜4のいずれかに記載の方法。
  6. 第1核種は、アルシン(AsH)、ホスフィン(PH)またはこれらの混合物からなるグループから好ましく選択されたn型ドーパントであり、
    第2核種は、ジボランとなるように好ましく選択されたp型ドーパントである請求項2〜5のいずれかに記載の方法。
  7. 等方性のn型ドーパント含有層を形成するステップは、ドーパント脱離限界より低い温度で実施する請求項6記載の方法。
  8. 等方性のp型ドーパント含有層を形成するステップは、ドーパント内部拡散限界より低い温度で実施する請求項6記載の方法。
  9. 歪み層の下方において、基板は、バルクシリコン、シリコン・オン・インシュレータ(SOI)、SOI上のSiGe1−x(0<x<1)、Si上のSiGe1−x(0<x<1)、バルクGe、またはこれらの混合物を含む請求項1〜8のいずれかに記載の方法。
  10. 歪み半導体層は、歪みSi、歪みGe、歪みSiGe1−x(0<x<1)、歪みIII−V材料、歪みSiC、またはこれらの混合物を含む請求項1〜9のいずれかに記載の方法。
  11. 歪み半導体層は、歪みSiからなる請求項1〜10のいずれかに記載の方法。
  12. 拡散制御層は、シリコン酸化物である請求項5〜11のいずれかに記載の方法。
  13. 第1及び/又は第2核種含有層を形成するステップは、第1及び/又は第2核種含有前駆体およびキャリアガスを反応チャンバ内に注入するステップを含み、
    キャリアガスは、好ましくは、N,H,Heまたはこれらの混合物からなるグループから選択され、より好ましくは、キャリアガスは、Nに選択される請求項1〜12のいずれかに記載の方法。
  14. 第1及び/又は第2核種含有層を形成するステップは、10torr(131Pa)〜1atm(10kPa)の圧力で実施する請求項1〜13のいずれかに記載の方法。
  15. 反応チャンバは、エピタキシャル反応容器、低圧化学気相成長(LPCVD)チャンバ、減圧化学気相成長(RPCVD)チャンバ、常圧化学気相成長(APCVD)チャンバ、超高真空気相成長(UHV−CVD)、またはガスソース分子線(GSMBE)チャンバである請求項1〜14のいずれかに記載の方法。
  16. チャネル領域と、チャネル領域に近接し、ドーパントで改質(modified)した少なくとも歪み領域を含む基板を備え、
    歪み領域は、ドーパントを導入する前に、チャネル領域においてキャリア移動度の増強を誘発するものであり、
    歪み領域によって誘発されたキャリア移動度の増強は、ドーパント導入およびドーパント活性化の際、サブ100nm MOSFETの拡張領域を形成するために従来から用いたドーパント量と同等またはより高いドーパント量に、本質的に保持されている半導体デバイス。
  17. 歪み領域は、歪みSiからなり、
    基板は、バルクシリコン、シリコン・オン・インシュレータ(SOI)、Si上の緩和SiGe、バルクGe、またはこれらの混合物をさらに含む請求項16記載の半導体デバイス。
  18. 核種を狭いフィン構造に導入して、等方性のドーパントプロファイルを形成する方法であって、
    a)初期の結晶化度を有し、あるフィン幅を有する、半導体材料中に作成され、少なくとも露出したフィン構造を含む基板を、反応チャンバの中に用意するステップと、
    b)少なくとも露出したフィン構造の上に、気相堆積(VPD)によって等方性の核種含有層を形成するステップと、
    c)続いて、熱処理を実施し、これにより核種含有層から核種の少なくとも一部を、露出したフィン構造の中に等方的に拡散し、露出したフィン構造の中に拡散した核種の少なくとも一部を活性化し、これによりフィン幅の1/2より大きいまたはこれに等しい深さを持つ等方性のドーパントプロファイルを形成し、半導体材料の初期の結晶化度を保存するようにしたステップと、含む方法。
  19. 前記熱処理は、急速加熱処理(RTP)、急速加熱アニール(RTA)、レーザアニール、またはこれらの何れかの組合せを含む請求項18記載の方法。
  20. 等方性の核種含有層を形成する前に、拡散制御層(DCL)が、少なくとも露出したフィン構造の上に形成される請求項18または19記載の方法。
  21. 核種は、アルシン(AsH)、ホスフィン(PH)またはこれらの混合物からなるグループから好ましく選択されたn型ドーパントであり、
    及び/又は、核種は、ジボランとなるように好ましく選択されたp型ドーパントである請求項18〜20のいずれかに記載の方法。
  22. 等方性のn型ドーパント含有層を形成するステップは、ドーパント脱離限界より低い温度で実施する請求項21記載の方法。
  23. 等方性のp型ドーパント含有層を形成するステップは、ドーパント内部拡散限界より低い温度で実施する請求項21記載の方法。
  24. フィン構造が作成される半導体材料のエピタキシャル単分子層を、等方性の核種含有層の上に成長させるステップをさらに含み、これによりフィン構造での置換サイトに核種を導入/組み込むようにした請求項18〜23のいずれかに記載の方法。
  25. 請求項18記載のような熱処理を実施するステップを省略するようにした請求項24記載の方法。
  26. 等方性の核種含有層を形成し、半導体材料のエピタキシャル単分子層を成長させるシーケンスは、少なくとも2回繰り返され、これにより半導体基板に組み込み/導入した核種の濃度を増加させるようにした請求項24または25記載の方法。
  27. シーケンスの間に、第2半導体材料のエピタキシャル単分子層を形成するようにした請求項26記載の方法。
  28. フィン幅は、20nmより小さいか、これと等しい請求項18〜27のいずれかに記載の方法。
  29. あるフィン幅を有し、ドーパントで改質され、等方性のドーパントプロファイルおよびドーパントプロファイル深さを有するフィン構造を含む基板を備え、
    フィン構造は、ドーパント導入前の初期の結晶化度を有する半導体材料中に作成され、
    ドーパントプロファイル深さは、フィン幅の(約)1/2より大きいまたはこれに等しく、
    フィン構造は、ドーパント導入およびドーパント活性化の際、サブ100nm MOSFETの拡張領域を形成するために用いたドーパント量と同等またはより高いドーパント量で、その結晶化度を保存している半導体デバイス。
  30. フィン幅は、20nmより小さいか、これと等しい請求項29記載の半導体デバイス。
  31. 整合したドーパント濃度を持つヘテロエピタキシャル接合を製造する方法であって、
    第1のドーピングレベルを有する第1半導体材料を用意するステップと、
    その上に、その場(in-situ)ドープのエピタキシャル成長によって第2のドーピングレベルを有する第2半導体材料を形成するステップとを含み、
    第2半導体材料を形成する前に、第1半導体材料の上で気相堆積工程を実施し、これにより第1のドーピングレベルを、第2のドーピングレベルと等しくなる(整合する)ように調整するようにした方法。
  32. 第1半導体材料はシリコンであり、第2半導体材料は、SiGe1−x(0<x<1)である請求項31記載の方法。
  33. 歪み半導体層または狭いフィン構造の等方性ドーピングを達成する気相堆積(VPD)の使用であって、
    フィン構造は、好ましくは、20nmより小さいか、これと等しいフィン幅を有するようにした気相堆積の使用。
JP2011517120A 2008-07-06 2009-07-06 半導体構造のドープ方法およびその半導体デバイス Pending JP2011527124A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7840308P 2008-07-06 2008-07-06
US61/078,403 2008-07-06
PCT/EP2009/058529 WO2010003928A2 (en) 2008-07-06 2009-07-06 Method for doping semiconductor structures and the semiconductor device thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016017995A Division JP6405325B2 (ja) 2008-07-06 2016-02-02 半導体構造のドープ方法

Publications (1)

Publication Number Publication Date
JP2011527124A true JP2011527124A (ja) 2011-10-20

Family

ID=41137857

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011517120A Pending JP2011527124A (ja) 2008-07-06 2009-07-06 半導体構造のドープ方法およびその半導体デバイス
JP2016017995A Active JP6405325B2 (ja) 2008-07-06 2016-02-02 半導体構造のドープ方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016017995A Active JP6405325B2 (ja) 2008-07-06 2016-02-02 半導体構造のドープ方法

Country Status (4)

Country Link
US (2) US8507337B2 (ja)
EP (1) EP2311072B1 (ja)
JP (2) JP2011527124A (ja)
WO (1) WO2010003928A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013197596A (ja) * 2012-03-21 2013-09-30 Samsung Electronics Co Ltd 電界効果トランジスタ構造

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US9048261B2 (en) * 2011-08-04 2015-06-02 International Business Machines Corporation Fabrication of field-effect transistors with atomic layer doping
WO2013095340A1 (en) 2011-12-19 2013-06-27 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
EP2717316B1 (en) * 2012-10-05 2019-08-14 IMEC vzw Method for producing strained germanium fin structures
US9059044B2 (en) 2012-11-15 2015-06-16 International Business Machines Corporation On-chip diode with fully depleted semiconductor devices
US9105559B2 (en) * 2013-09-16 2015-08-11 International Business Machines Corporation Conformal doping for FinFET devices
US9530776B2 (en) * 2014-01-17 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET semiconductor device with germanium diffusion over silicon fins
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US9390976B2 (en) 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US9443770B2 (en) 2014-05-20 2016-09-13 International Business Machines Corporation Patterning process for fin implantation
US20150372099A1 (en) * 2014-06-19 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide formation using a spike annealing process
US9318318B1 (en) 2015-01-05 2016-04-19 International Business Machines Corporation 3D atomic layer gate or junction extender
US9397215B1 (en) 2015-09-04 2016-07-19 International Business Machines Corporation FinFET with reduced source and drain resistance
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
JP6810578B2 (ja) * 2016-11-18 2021-01-06 株式会社Screenホールディングス ドーパント導入方法および熱処理方法
JP6768481B2 (ja) * 2016-12-12 2020-10-14 株式会社Screenホールディングス ドーパント導入方法および熱処理方法
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11373871B2 (en) 2018-11-21 2022-06-28 Applied Materials, Inc. Methods and apparatus for integrated selective monolayer doping

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8009A (en) * 1851-04-01 Improvement in mills for grinding paints and drugs
JPS62501530A (ja) * 1985-01-25 1987-06-18 アメリカン テレフオン アンド テレグラフ カムパニ− 制御されたシリコンへのホウ素ド−ピング
JPS63166220A (ja) * 1986-12-26 1988-07-09 Toshiba Corp 半導体装置の製造方法
JPH01186615A (ja) * 1988-01-14 1989-07-26 Toshiba Corp 半導体装置の製造方法
JPH03173420A (ja) * 1989-12-01 1991-07-26 Seiko Instr Inc 半導体内壁に対する不純物の注入方法
JPH03224241A (ja) * 1989-08-11 1991-10-03 Seiko Instr Inc 絶縁ゲート電界効果トランジスタの製造方法
JPH11509370A (ja) * 1995-07-18 1999-08-17 シーメンス アクチエンゲゼルシヤフト Mosトランジスタの製造方法
JP2002508597A (ja) * 1998-03-25 2002-03-19 シーメンス ソーラー ゲゼルシャフト ミット ベシュレンクテル ハフツング 半導体本体の片面にドープする方法
JP2002329868A (ja) * 2001-04-27 2002-11-15 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2006012924A (ja) * 2004-06-22 2006-01-12 Sharp Corp 電界効果トランジスタおよびその製造方法
WO2006064772A1 (ja) * 2004-12-13 2006-06-22 Matsushita Electric Industrial Co., Ltd. プラズマドーピング方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS552727B1 (ja) * 1970-11-09 1980-01-22
US5354710A (en) * 1988-01-14 1994-10-11 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices using an adsorption enhancement layer
EP0606114A1 (en) * 1989-08-11 1994-07-13 Seiko Instruments Inc. Method of producing field effect transistor
JP2926419B2 (ja) * 1989-12-06 1999-07-28 セイコーインスツルメンツ株式会社 半導体装置の製造方法
JPH04302466A (ja) * 1991-03-29 1992-10-26 Toshiba Corp 半導体装置の製造方法
US5599735A (en) * 1994-08-01 1997-02-04 Texas Instruments Incorporated Method for doped shallow junction formation using direct gas-phase doping
US5882991A (en) * 1996-09-20 1999-03-16 Texas Instruments Incorporated Approaches for shallow junction formation
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
TW473834B (en) * 1998-05-01 2002-01-21 Ibm Method of doping a gate and creating a very shallow source/drain extension and resulting semiconductor
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
JP2005294789A (ja) * 2004-03-10 2005-10-20 Toshiba Corp 半導体装置及びその製造方法
DE102004020593A1 (de) * 2004-04-27 2005-11-24 Infineon Technologies Ag Fin-Feldeffekttransistor-Anordnung und Verfahren zum Herstellen einer Fin-Feldeffektransistor-Anordnung
KR100682892B1 (ko) * 2004-09-25 2007-02-15 삼성전자주식회사 박막 트랜지스터의 제조방법
JP2008529295A (ja) 2005-01-28 2008-07-31 エヌエックスピー ビー ヴィ デュアルゲートfetを製造する方法
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US7323389B2 (en) * 2005-07-27 2008-01-29 Freescale Semiconductor, Inc. Method of forming a FINFET structure
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US7531423B2 (en) * 2005-12-22 2009-05-12 International Business Machines Corporation Reduced-resistance finFETs by sidewall silicidation and methods of manufacturing the same
US7390708B2 (en) * 2006-10-23 2008-06-24 Interuniversitair Microelektronica Centrum (Imec) Vzw Patterning of doped poly-silicon gates
US8883597B2 (en) * 2007-07-31 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8009A (en) * 1851-04-01 Improvement in mills for grinding paints and drugs
JPS62501530A (ja) * 1985-01-25 1987-06-18 アメリカン テレフオン アンド テレグラフ カムパニ− 制御されたシリコンへのホウ素ド−ピング
JPS63166220A (ja) * 1986-12-26 1988-07-09 Toshiba Corp 半導体装置の製造方法
JPH01186615A (ja) * 1988-01-14 1989-07-26 Toshiba Corp 半導体装置の製造方法
JPH03224241A (ja) * 1989-08-11 1991-10-03 Seiko Instr Inc 絶縁ゲート電界効果トランジスタの製造方法
JPH03173420A (ja) * 1989-12-01 1991-07-26 Seiko Instr Inc 半導体内壁に対する不純物の注入方法
JPH11509370A (ja) * 1995-07-18 1999-08-17 シーメンス アクチエンゲゼルシヤフト Mosトランジスタの製造方法
JP2002508597A (ja) * 1998-03-25 2002-03-19 シーメンス ソーラー ゲゼルシャフト ミット ベシュレンクテル ハフツング 半導体本体の片面にドープする方法
JP2002329868A (ja) * 2001-04-27 2002-11-15 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2006012924A (ja) * 2004-06-22 2006-01-12 Sharp Corp 電界効果トランジスタおよびその製造方法
WO2006064772A1 (ja) * 2004-12-13 2006-06-22 Matsushita Electric Industrial Co., Ltd. プラズマドーピング方法
JP5102495B2 (ja) * 2004-12-13 2012-12-19 パナソニック株式会社 プラズマドーピング方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JPN6013064558; YEN PING WANG ET AL: 'Low-Frequency Noise Characteristics in Strained-Si nMOSFETs' IEEE vol. 28, no. 1, 2007, pages 36-38 *
JPN6013064560; SONG Y H ET AL: 'A NOVEL ATOMIC LAYER DOPING TECHNOLOGY FOR ULTRA-SHALLOW JUNCTION IN SUB-0.1MUM MOSFETS' INTERNATIONAL ELECTRON DEVICES MEETING 1999 , 1999, pages 505-508 *
JPN6013064562; CHIBA Y ET AL: 'Epitaxial growth of P atomic layer doped Si film by alternate surface reactions of PH3 and Si2H6 on' SEMICONDUCTOR SCIENCE AND TECHNOLOGY vol. 22, no. 1, 2007, pages S118-S122 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013197596A (ja) * 2012-03-21 2013-09-30 Samsung Electronics Co Ltd 電界効果トランジスタ構造
US9653551B2 (en) 2012-03-21 2017-05-16 Samsung Electronics Co., Ltd. Field effect transistors including fin structures with different doped regions and semiconductor devices including the same

Also Published As

Publication number Publication date
US20140008727A1 (en) 2014-01-09
US20110169049A1 (en) 2011-07-14
US8507337B2 (en) 2013-08-13
WO2010003928A2 (en) 2010-01-14
JP6405325B2 (ja) 2018-10-17
US8962369B2 (en) 2015-02-24
EP2311072B1 (en) 2013-09-04
WO2010003928A3 (en) 2010-05-06
JP2016139806A (ja) 2016-08-04
EP2311072A2 (en) 2011-04-20

Similar Documents

Publication Publication Date Title
JP6405325B2 (ja) 半導体構造のドープ方法
US9698249B2 (en) Epitaxy in semiconductor structure and manufacturing method of the same
KR100657395B1 (ko) 반도체 장치 및 그 제조 방법
US8962400B2 (en) In-situ doping of arsenic for source and drain epitaxy
KR20190021154A (ko) 도핑된 게르마늄 주석 반도체 증착 방법 및 관련된 반도체 소자 구조
US10121703B2 (en) Contact structure and extension formation for III-V nFET
US10141406B2 (en) Tensile strained NFET and compressively strained PFET formed on strain relaxed buffer
US9379219B1 (en) SiGe finFET with improved junction doping control
US8772095B2 (en) Method of manufacturing semiconductor device using stress memorization technique
JP2007294707A (ja) 半導体装置の製造方法および半導体装置
KR100942965B1 (ko) 스트레인드채널을 갖는 반도체소자 및 그 제조 방법
US20070224785A1 (en) Strain-inducing film formation by liquid-phase epitaxial re-growth
US9349864B1 (en) Methods for selectively forming a layer of increased dopant concentration
US10340385B2 (en) Method to improve FinFET device performance
Huang et al. Selective Epitaxial Germanium Growth on Silicon-Trench Fill and In Situ Doping
CN107369712A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140107

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150120

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150302

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151006