JP2011086942A - バルクFinFETを形成するSTI領域中のボイド - Google Patents

バルクFinFETを形成するSTI領域中のボイド Download PDF

Info

Publication number
JP2011086942A
JP2011086942A JP2010231121A JP2010231121A JP2011086942A JP 2011086942 A JP2011086942 A JP 2011086942A JP 2010231121 A JP2010231121 A JP 2010231121A JP 2010231121 A JP2010231121 A JP 2010231121A JP 2011086942 A JP2011086942 A JP 2011086942A
Authority
JP
Japan
Prior art keywords
insulating regions
void
fin
semiconductor
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010231121A
Other languages
English (en)
Other versions
JP5307783B2 (ja
Inventor
Feng Yuan
鋒 袁
Tsung-Lin Lee
宗霖 李
Hung-Ming Chen
宏銘 陳
Chang-Yun Chang
長▲いん▼ 張
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2011086942A publication Critical patent/JP2011086942A/ja
Application granted granted Critical
Publication of JP5307783B2 publication Critical patent/JP5307783B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】バルクFinFETを形成するSTI領域中のボイドを提供すること。
【解決手段】本発明の実施形態にかかる集積回路構造の一態様は、基板20と、基板20上にあり、1つがボイド38を含む2個の絶縁領域40と、2個の絶縁領域40の間にあり、且つ、隣接する第1半導体ストリップ42と、を備えている。第1半導体ストリップ42は、2個の絶縁領域40の上表面上にフィン60を形成する頂部を有することを特徴としている。
【選択図】図9

Description

本発明は、集積回路に関するものであって、特に、シャロートレンチアイソレーション(STI)領域と半導体フィンの構造、及び、製造方法に関するものである。
集積回路のスケールダウンと集積回路の速度に対する厳しい要求の増加に伴い、トランジスタには、一層の小型化と共に、更に高い駆動電流を有することが要求されている。よって、フィン型電界効果トランジスタ(FinFET)が発展した。図1は公知のFinFETの断面図で、この断面図は、フィンを通過し、ソースとドレイン領域を通過しない。フィン100は、基板102上に延伸する垂直のシリコンフィンとして形成され、且つ、ソースとドレイン領域(図示しない)とそれらの間のチャネル領域を形成するのに用いられる。シャロートレンチアイソレーション(sallow trench isolation:STI)領域120が形成されて、フィン100を規定する。ゲート108がフィン100上に形成される。ゲート誘電体106が形成されて、ゲート108からフィン100を分離する。
寄生容量(キャパシタ110で示される)が、ゲート108と半導体ストリップ122間に生成され、STI領域120が寄生容量110の絶縁体として作用することが理解できる。寄生容量は、各集積回路に対し、好ましくない影響を与えるので、寄生容量を減少させる必要がある。
本発明は、シャロートレンチアイソレーション(STI)領域と半導体フィンの構造、及び、製造方法を提供し、上述の問題を解決することを目的とする。
本発明の実施形態によると、集積回路構造は、基板と、基板上にあり、1つがボイドを含む2個の絶縁領域と、2個の絶縁領域間にあり、且つ、隣接する第1半導体ストリップと、を含む。第1半導体ストリップは、2個の絶縁領域の上表面上にフィンを形成する頂部を有する。
別の実施形態も開示される。
STI領域全体の有効k値が減少し、寄生容量のキャパシタンスが減少し、これにより、得られた集積回路のパフォーマンスが改善される。
公知のFinFETの断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。 本発明の実施形態によるFinFETの製造の中間段階を示す断面図である。
シャロートレンチアイソレーション(STI)領域とフィン型電界効果トランジスタ(FinFET)の新規な形成方法が提供される。実施形態の製造の中間段階が説明され、実施形態の変化が討論される。様々な図面と実施形態の説明において、類似の符号は類似の素子を示す。
図2を参照すると、半導体基板20が提供される。実施形態中、半導体基板20はシリコンを含む。半導体基板20は、他の常用の材料、例えば、カーボン、ゲルマニウム、ガリウム、砒素、窒素、インジウム、及び/又は、リン等を含んでもよい。半導体基板20は、バルク基板、或いは、半導体−オン−インシュレータ(SOI)基板である。
パッド層22とマスク層24が半導体基板20上に形成される。パッド層22は、例えば、熱酸化プロセスを用いて、酸化ケイ素から形成される薄膜であり得る。パッド層22は、半導体基板20とマスク層24間の接着層となることができる。パッド層22は、マスク層24をエッチングするためのエッチ停止層になることもできる。実施形態中、マスク層24は、窒化ケイ素からなり、例えば、低圧化学蒸着(low-pressure chemical vapor deposition:LPCVD)を用いて形成する。別の実施形態中、マスク層24は、シリコンの熱窒化、プラズマ化学気相成長法(plasma-enhanced chemical vapor deposition:PECVD)、或いは、プラズマ陽極窒化(plasma anodic nitridation)により形成される。後続のフォトリソグラフィプロセスで、マスク層24はハードマスクとなる。フォトレジスト26はマスク層24上に形成され、その後、パターン化され、開口28をフォトレジスト26中に形成する。
図3を参照すると、マスク層24とパッド層22が、開口28を通過してエッチングされ、下の半導体基板20を露出する。露出した半導体基板20は、その後、エッチングされ、トレンチ32を形成する。トレンチ32間の半導体基板20の部分は、半導体ストリップ42を形成する。トレンチ32は互いに平行なストリップで(上視図中)、互いに近接して設置されることができる。例えば、トレンチ32間のスペースSは、約30nmより小さい。その後、フォトレジスト26が除去される。次に、半導体基板20の自然酸化物を除去するために、洗浄が実行されてもよい。洗浄は、希釈されたフッ化水素酸(hydrofluoric acid)を用いて実行される。
トレンチ32の深さDは、約2100Å〜2500Åで、幅Wは約300Å〜1500Åであり得る。実施形態中、トレンチ32のアスペクト比(D/W)は約7.0より大きい。別の実施形態では、アスペクト比は、更に約8より大きくてもよく、約7.0より小さくても、或いは、7.0〜8.0でもよい。しかし、当業者なら分かるように、明細書中の寸法と数値は、単なる例に過ぎず、異なる大きさの集積回路に適合させるために、寸法と数値は変化させることができる。
その後、図4で示されるように、酸化物ライナー34が、トレンチ32中に形成される。実施形態中、酸化物ライナー34は、厚さ約20Å〜500Åの熱酸化物であってもよい。別の実施形態で、酸化物ライナー34は、その場スチーム生成(in-situ steam generation:ISSG)により形成されてもよい。更に別の実施形態で、酸化物ライナー34は、共形酸化層を形成することができる蒸着技術、例えば、選択化学蒸着法(Selective Area Chemical Vapor Deposition:SACVD)等により形成されてもよい。酸化物ライナー34の形成により、トレンチ32の角が丸くなり、電界を減少させ、得られた集積回路のパフォーマンスを改善する。
図5A、5B、及び、5Cを参照すると、トレンチ32は誘電体材料36により充填される。誘電体材料36は酸化ケイ素を含み、よって、酸化物36と称されるが、他の誘電体材料、SiN、SiC、或いは、類似物を使用することもできる。実施形態中、酸化物36は、高アスペクト比プロセス(high aspect-ratio process:HARP)により形成される。このプロセスガスは、オルト珪酸テトラエチル(tetraethylorthosilicate、TEOS)とオゾンO(ozone)を含むことができる。トレンチ32中の酸化物36と酸化物ライナー34は、以下で、STI領域40と称する。簡単にするため、酸化物ライナー34は、図5B、図5C、及び、後続の図中で、図示されない。
ボイド38が酸化物36中に形成されてもよい。実施形態中、ボイド38は、酸化物36中にボイドを形成するのを助けるHARP等の適当な方法を選択し、適当な工程条件を採用することにより形成されてもよい。半導体ストリップ42が用いられて、単一FinFET(図8Aと9を参照)を形成し、半導体ストリップ42は、複数のFinFETを形成するのに用いられてもよい。従って、半導体ストリップ42間のSTI領域40はイントラ装置(intra-device)STI領域と称される。反対に、FinFET間のSTI領域40'(図5Bと9)はインター装置(inter−device)STI領域と称される。実施形態中、イントラ装置STI領域40はボイド38を有し、インター装置STI領域40'はボイドを有さない。ボイドは、大きいアスペクト比を有するトレンチ中に生成される可能性が高い。この他、インター装置STI領域40'は、イントラ装置STI領域40のアスペクト比よりも小さいアスペクト比を有してもよい。よって、イントラ装置STI領域40中のボイド38の形成(インター装置STI領域40'中に形成するのではない)は、酸化物36を形成する適当な方法を選択し、イントラ装置STI領域40とインター装置STI領域40'の適当なアスペクト比を選択することにより達成されることができる。
また、FinFET装置の形成後(図8A〜9を参照)、ボイド38は、構造内に残る。よって、ボイド38の好ましい位置は、後続の陥凹ステップ(図7Aと7B)で、除去されるSTI領域の数量により影響される。実施形態中、ボイド38の頂端は、約25nmより大きい距離D'(図5A)により、フィン42頂面から垂直に隔てられる。例えば、酸化物36の形成中の工程条件、例えば、蒸着速度、プロセスガスの流速、基板20の温度等を調整することにより、距離D'が形成される。実施形態中、STI領域40は、TEOSとオゾンをプロセスガスとし、約500Torrより大きいサブ大気圧下で形成される。チャンバを形成するプロセスガスの圧力は、約500Torr〜760Torrである。TEOSの流速は約10sccmより大きく、オゾンの流速は約10sccmより大きい。高ゲート流速と高ガス圧は、ボイドの形成を促進する。
別の実施形態中、図5Cで示されるように、ボイド38が形成されない。しかし、トレンチ32の反対側壁上で成長する酸化物36の部分が互いに結合して、トレンチ32の中央で、シーム(seam)43を形成する。高密度のダングリングボンド(dangling bond)により、シーム43は、酸化物36の弱い部分である。
その後、化学機械研磨が実行され、マスク層24とパッド層22が除去される。得られた構造は図6で示される。マスク層24が窒化ケイ素で形成される場合、熱HPOを用いて、ウェットエッチングにより除去され、パッド層22が酸化ケイ素で形成される場合、希釈されたHF酸により除去されることができる。
次に、図6で示される構造が用いられて、フィンを形成し、更に、FinFETを形成する。図7Aと7Bで示されるように、STI領域40は、エッチングにより陥凹し、凹部52を形成する。半導体ストリップ42の一部分は、残りのSTI領域40の上表面で突出して、フィン60になる。実施形態中、酸化物36の陥凹ステップは、例えば、希釈されたフッ化水素(HF)酸溶液中で実行されるウェットディップ(wet dip)を含む。別の実施形態中、エッチングはドライエッチングである。凹部52の深さD''は約15nm〜50nmである。
図7Aを参照すると、ボイド38が、STI領域40の残り部分に組み込まれ、囲まれる。図7B中、ボイド38は外部環境に露出してもよい。しかし、ボイド38の開口は小さい。図5Bで示される実施形態中、シーム43(図5C)はSTI領域40の弱い部分なので、STI領域40を陥凹する間、シーム43は、他の部分より速くエッチングされることができ、ボイド38が形成される。この他、STI領域40の陥凹前に、ボイド38は既に形成されている場合、露出したボイドは拡大する。
図8Aを参照すると、ゲート誘電体62が形成されて、フィン60の上表面と側壁を被覆する。ゲート誘電体62は熱酸化により形成されるので、熱シリコン酸化物を含む。本実施形態中、ゲート誘電体62は、フィン60の上表面に形成されるが、STI領域40の上表面には形成されない。別の実施形態中、ゲート誘電体62は蒸着ステップにより形成されることができる。よって、ゲート誘電体62は、フィン60の上表面とSTI領域40の上表面に形成される。その後、ゲート電極64はゲート誘電体62上に形成される。実施形態中、図8Aと8Bで示されるように、ゲート電極64は1つ以上のフィン60を被覆するので、得られたFinFET66はマルチフィン型FinFETである。別の実施形態中、各フィン60は1つのFinFETを形成するのに用いられる。ソースとドレイン領域、ソースとドレインシリサイド(図示しない)を含むFinFET66の残りの素子が形成される。これらの素子の形成プロセスは公知技術であるので、詳述しない。
図8Bは、図7Bで示される構造から形成される別の実施形態を示す図である。ボイド38は、STI領域40の頂面から露出するが、開口39は十分に小さいので、ゲート電極64形成後、ボイド38の開口39は、ゲート電極64により封じられ、ボイド38は充填されない。
図5A〜9で示される実施形態中、1つのボイド38だけが各STI領域40で示されているが、当然のことながら、各STI領域40のボイドの数量は、1つ以上でもよく、単一STI領域40の複数のボイドは、STI領域40により散在する。
図9は、インター装置STI領域40'とイントラ装置STI領域40を示す図で、構造は、図5Cで示される構造から形成される。注意すべきことは、インター装置STI領域40'は、いかなるボイドもなく、且つ、上方に、ゲート電極がないことである。比較すると、イントラ装置STI領域40はボイド38を有し、且つ、ゲート電極64の真下に位置する。
実施形態は、多くの長所がある。ボイドは、FinFETのゲート電極の真下のSTI領域中に形成される。ボイドは、k値が1に等しいエアポケットを有するので、STI領域40全体の有効k値が減少する。寄生容量80(図8A)のキャパシタンスが減少する。これにより、得られた集積回路のパフォーマンスが改善される。
本発明では好ましい実施形態を前述の通り開示したが、これらは決して本発明に限定するものではなく、当該技術を熟知する者なら誰でも、本発明の精神と領域を脱しない範囲内で各種の変動や潤色を加えることができ、従って本発明の保護範囲は、特許請求の範囲で指定した内容を基準とする。
100 フィン
102 基板
106 ゲート誘電体
108 ゲート
110 キャパシタ
120 STI領域
122 半導体ストリップ
20 半導体基板
22 パッド層
24 マスク層
26 フォトレジスト
28 開口
32 トレンチ
34 酸化物ライナー
36 酸化物
38 ボイド
39 開口
40、40' STI領域
42 半導体ストリップ
43 シーム
52 凹部
60 フィン
62 ゲート誘電体
64 ゲート電極
66 フィン型電界効果トランジスタ
80 寄生容量
S スペーサ
W 幅
D 深さ
D'、D" 距離

Claims (15)

  1. 基板と、
    前記基板上にあり、1つがボイドを含む2個の絶縁領域と、
    前記2個の絶縁領域間にあり、且つ、前記2個の絶縁領域に隣接する第1半導体ストリップと、を備え、
    前記第1半導体ストリップは、前記2個の絶縁領域の上表面上にフィンを形成する頂部を有することを特徴とする集積回路構造。
  2. 前記フィンの上表面と側壁上のゲート誘電体と、
    前記ゲート誘電体上にあり、前記ボイド真上に一部分を有するゲート電極と、
    を更に含むことを特徴とする請求項1に記載の集積回路構造。
  3. 第2半導体ストリップを更に含み、
    前記2個の絶縁領域の1つは、前記第1半導体ストリップと前記第2半導体ストリップ間にあり、且つ、前記第1半導体ストリップと前記第2半導体ストリップに近接し、且つ、前記ゲート誘電体と前記ゲート電極は、前記第1半導体ストリップと前記第2半導体ストリップの真上に延伸することを特徴とする請求項1に記載の集積回路構造。
  4. 前記ゲート電極から水平に分離される追加の絶縁領域を更に有し、
    前記追加の絶縁領域は、どのFinFETのどのゲート電極下にも形成されておらず、且つ、前記追加の絶縁領域は、いかなるボイドも含まないことを特徴とする請求項1に記載の集積回路構造。
  5. 前記ゲート電極は前記ボイドに露出することを特徴とする請求項1に記載の集積回路構造。
  6. 前記ボイドは、前記2個の絶縁領域の1つの上表面より低い頂面を有することを特徴とする請求項1に記載の集積回路構造。
  7. 前記第1半導体ストリップ、前記第2半導体ストリップ、及び、前記半導体基板は、シリコンから形成され、前記第1半導体ストリップと前記第2半導体ストリップは、連続して、前記半導体基板に接続されることを特徴とする請求項3に記載の集積回路構造。
  8. 集積回路構造の形成方法であって、
    半導体基板を提供するステップと、
    前記半導体基板中に、2個の絶縁領域を形成し、前記半導体基板のストリップが前記2個の絶縁領域を介し、且つ、前記2個の絶縁領域に隣接するステップと、
    前記2個の絶縁領域の頂面を陥凹するステップと、を備え、
    前記2個の絶縁領域の上表面上の前記半導体基板の前記ストリップの頂部は第1フィンを形成し、前記の陥凹ステップ後、前記2個の絶縁領域の1つがボイドを含むことを特徴とする方法。
  9. 前記2個の絶縁領域を形成する前記ステップは、
    前記半導体基板をエッチングして、トレンチを形成するステップと、
    誘電材料を前記トレンチに充填して、前記2個の絶縁領域を形成するステップと、
    からなることを特徴とする請求項8に記載の方法。
  10. 前記ボイドは、前記トレンチを充填する前記ステップで生成されることを特徴とする請求項9に記載の方法。
  11. 前記ボイドは、前記2個の絶縁領域の前記頂面を陥凹する前記ステップで生成されることを特徴とする請求項9に記載の方法。
  12. 前記フィンの上表面と側壁上に、ゲート誘電体を形成するステップと、
    前記ゲート誘電体上にあり、前記ボイド真上に一部分を有するゲート電極を形成するステップと、をさらに備えることを特徴とする請求項8に記載の方法。
  13. 前記ゲート電極は前記ボイドに隣接することを特徴とする請求項12に記載の方法。
  14. 陥凹する前記ステップで、前記2個の絶縁領域の上表面上に第2フィンを形成するステップを更に含み、
    前記2個の絶縁領域の1つは、前記第1フィンと前記第2フィン間で水平で、且つ、前記ゲート誘電体と前記ゲート電極は、前記第1フィンと前記第2フィン真上に延伸することを特徴とする請求項12に記載の方法。
  15. 前記2個の絶縁領域を形成する前記ステップで、同時に、前記ゲート電極から水平に分離される追加の絶縁領域を形成し、
    前記追加の絶縁領域は、どのFinFETのどのゲート電極下でもなく、且つ、前記追加の絶縁領域は、いかなるボイドも含まないことを特徴とする請求項8に記載の方法。
JP2010231121A 2009-10-14 2010-10-14 バルクFinFETを形成するSTI領域中のボイド Active JP5307783B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25158709P 2009-10-14 2009-10-14
US61/251,587 2009-10-14
US12/612,442 2009-11-04
US12/612,442 US8519481B2 (en) 2009-10-14 2009-11-04 Voids in STI regions for forming bulk FinFETs

Publications (2)

Publication Number Publication Date
JP2011086942A true JP2011086942A (ja) 2011-04-28
JP5307783B2 JP5307783B2 (ja) 2013-10-02

Family

ID=43854154

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010231121A Active JP5307783B2 (ja) 2009-10-14 2010-10-14 バルクFinFETを形成するSTI領域中のボイド

Country Status (5)

Country Link
US (2) US8519481B2 (ja)
JP (1) JP5307783B2 (ja)
KR (1) KR101243414B1 (ja)
CN (1) CN102044469B (ja)
TW (1) TWI430446B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160073700A (ko) * 2014-12-17 2016-06-27 삼성전자주식회사 매립형 게이트 구조체를 갖는 반도체 소자 및 그 제조 방법

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112052B2 (en) 2009-10-14 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
US8513107B2 (en) * 2010-01-26 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement gate FinFET devices and methods for forming the same
US9287385B2 (en) * 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US9893163B2 (en) * 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
US9406518B2 (en) * 2011-11-18 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor substrate
KR101835655B1 (ko) 2012-03-06 2018-03-07 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 제조 방법
US8860148B2 (en) * 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9728464B2 (en) 2012-07-27 2017-08-08 Intel Corporation Self-aligned 3-D epitaxial structures for MOS device fabrication
US9142548B2 (en) * 2012-09-04 2015-09-22 Qualcomm Incorporated FinFET compatible capacitor circuit
CN103681331B (zh) * 2012-09-10 2016-06-29 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
US20140103452A1 (en) * 2012-10-15 2014-04-17 Marvell World Trade Ltd. Isolation components for transistors formed on fin features of semiconductor substrates
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US9000522B2 (en) 2013-01-09 2015-04-07 International Business Machines Corporation FinFET with dielectric isolation by silicon-on-nothing and method of fabrication
US8866204B2 (en) 2013-01-30 2014-10-21 Stmicroelectronics, Inc. Method to form finFET/trigate devices on bulk semiconductor wafers
US8895446B2 (en) 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US9443961B2 (en) 2013-03-12 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor strips with undercuts and methods for forming the same
CN104347410B (zh) * 2013-07-24 2017-12-29 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9142474B2 (en) 2013-10-07 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation structure of fin field effect transistor
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
US9356046B2 (en) * 2013-11-22 2016-05-31 Globalfoundries Inc. Structure and method for forming CMOS with NFET and PFET having different channel materials
US9252044B2 (en) * 2014-03-24 2016-02-02 International Business Machines Corporation Shallow trench isolation for end fin variation control
KR102208063B1 (ko) * 2014-04-22 2021-01-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9966471B2 (en) * 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9236308B1 (en) * 2014-08-19 2016-01-12 Globalfoundries Inc. Methods of fabricating fin structures of uniform height
CN105374871B (zh) * 2014-08-22 2020-05-19 联华电子股份有限公司 鳍状结构及其形成方法
KR102365305B1 (ko) 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
KR102270920B1 (ko) * 2015-06-10 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN106409748B (zh) 2015-08-03 2020-11-17 联华电子股份有限公司 半导体元件及其制作方法
US9660022B2 (en) 2015-08-20 2017-05-23 United Microelectronics Corp. Semiconductive device with a single diffusion break and method of fabricating the same
US9553088B1 (en) * 2015-09-24 2017-01-24 International Business Machines Corporation Forming semiconductor device with close ground rules
US9653359B2 (en) * 2015-09-29 2017-05-16 International Business Machines Corporation Bulk fin STI formation
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9577036B1 (en) 2015-11-12 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET isolation structure and method for fabricating the same
KR102402482B1 (ko) 2015-11-27 2022-05-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
EP3182461B1 (en) * 2015-12-16 2022-08-03 IMEC vzw Method for fabricating finfet technology with locally higher fin-to-fin pitch
CN107293588A (zh) 2016-03-30 2017-10-24 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US11211305B2 (en) * 2016-04-01 2021-12-28 Texas Instruments Incorporated Apparatus and method to support thermal management of semiconductor-based components
US9780218B1 (en) * 2016-05-02 2017-10-03 United Microelectronics Corp. Bottom-up epitaxy growth on air-gap buffer
US10861796B2 (en) 2016-05-10 2020-12-08 Texas Instruments Incorporated Floating die package
CN107369643A (zh) * 2016-05-11 2017-11-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9735246B1 (en) * 2016-05-11 2017-08-15 International Business Machines Corporation Air-gap top spacer and self-aligned metal gate for vertical fets
CN107546127B (zh) * 2016-06-28 2022-06-21 联华电子股份有限公司 半导体元件及其制作方法
WO2018063259A1 (en) * 2016-09-29 2018-04-05 Intel Corporation Metal resistor and self-aligned gate edge (sage) architecture having a metal resistor
US9960275B1 (en) * 2016-10-28 2018-05-01 Applied Materials, Inc. Method of fabricating air-gap spacer for N7/N5 finFET and beyond
US10074639B2 (en) 2016-12-30 2018-09-11 Texas Instruments Incorporated Isolator integrated circuits with package structure cavity and fabrication methods
US10056289B1 (en) 2017-04-20 2018-08-21 International Business Machines Corporation Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap
US10074558B1 (en) * 2017-09-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with controlled air gaps
US10366915B2 (en) * 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10388770B1 (en) * 2018-03-19 2019-08-20 Globalfoundries Inc. Gate and source/drain contact structures positioned above an active region of a transistor device
US10629706B2 (en) * 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US10453934B1 (en) * 2018-06-11 2019-10-22 International Business Machines Corporation Vertical transport FET devices having air gap top spacer
CN112635560B (zh) 2019-10-08 2023-12-05 联华电子股份有限公司 鳍状晶体管结构及其制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273794A (ja) * 2006-03-31 2007-10-18 Toyota Motor Corp 半導体装置の製造方法
JP2008147269A (ja) * 2006-12-07 2008-06-26 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2008160125A (ja) * 2006-12-22 2008-07-10 Intel Corp 二重ゲートを有する浮遊ボディメモリセル

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6248644B1 (en) 1999-04-28 2001-06-19 United Microelectronics Corp. Method of fabricating shallow trench isolation structure
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
KR100543901B1 (ko) 2003-09-19 2006-01-20 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100578130B1 (ko) * 2003-10-14 2006-05-10 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 다중 실리콘 핀 및 그형성 방법
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
KR100752661B1 (ko) 2005-04-09 2007-08-29 삼성전자주식회사 수직 방향의 게이트 전극을 갖는 전계효과 트랜지스터 및그 제조 방법
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US20070235783A9 (en) * 2005-07-19 2007-10-11 Micron Technology, Inc. Semiconductor constructions, memory arrays, electronic systems, and methods of forming semiconductor constructions
US20080277739A1 (en) * 2005-10-25 2008-11-13 Nxp B.V. Finfet Transistors
KR100833437B1 (ko) * 2006-09-06 2008-05-29 주식회사 하이닉스반도체 낸드 플래시 메모리 소자의 제조방법
US7560344B2 (en) * 2006-11-15 2009-07-14 Samsung Electronics Co., Ltd. Semiconductor device having a pair of fins and method of manufacturing the same
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US7985977B2 (en) * 2007-12-11 2011-07-26 Hvvi Semiconductors, Inc. Sacrificial pillar dielectric platform
JP5193583B2 (ja) 2007-12-17 2013-05-08 株式会社東芝 フィン型トランジスタ

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273794A (ja) * 2006-03-31 2007-10-18 Toyota Motor Corp 半導体装置の製造方法
JP2008147269A (ja) * 2006-12-07 2008-06-26 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2008160125A (ja) * 2006-12-22 2008-07-10 Intel Corp 二重ゲートを有する浮遊ボディメモリセル

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160073700A (ko) * 2014-12-17 2016-06-27 삼성전자주식회사 매립형 게이트 구조체를 갖는 반도체 소자 및 그 제조 방법
KR102204387B1 (ko) 2014-12-17 2021-01-18 삼성전자주식회사 매립형 게이트 구조체를 갖는 반도체 소자 및 그 제조 방법

Also Published As

Publication number Publication date
KR20110040651A (ko) 2011-04-20
TWI430446B (zh) 2014-03-11
US8723271B2 (en) 2014-05-13
US8519481B2 (en) 2013-08-27
US20130277757A1 (en) 2013-10-24
CN102044469A (zh) 2011-05-04
KR101243414B1 (ko) 2013-03-13
JP5307783B2 (ja) 2013-10-02
CN102044469B (zh) 2014-03-12
TW201114036A (en) 2011-04-16
US20110084340A1 (en) 2011-04-14

Similar Documents

Publication Publication Date Title
JP5307783B2 (ja) バルクFinFETを形成するSTI領域中のボイド
JP5836437B2 (ja) 集積回路構造の形成方法
JP5377456B2 (ja) 異なる誘電材料を用いたインター装置sti領域とイントラ装置sti領域の形成
US9640441B2 (en) Voids in STI regions for forming bulk FinFETs
CN103985711B (zh) 具有减少的寄生电容量的FinFET及其制造方法
US9306037B2 (en) Dummy gate electrode of semiconductor device
TWI431778B (zh) 鰭式場效應電晶體及其製造方法
US9112033B2 (en) Source/drain structure of semiconductor device
KR101372603B1 (ko) 핀 전계 효과 트랜지스터의 게이트 스택
US9142676B2 (en) Semiconductor liner of semiconductor device
CN103578988A (zh) 鳍部、鳍式场效应管及鳍部和鳍式场效应管的形成方法
KR101163909B1 (ko) 벌크 FinFET에서 Si 핀의 핀 하부 부근의 STI 형상

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130627

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5307783

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250