JP2010074168A - 硬化フォトレジストを半導体基板から除去する方法 - Google Patents
硬化フォトレジストを半導体基板から除去する方法 Download PDFInfo
- Publication number
- JP2010074168A JP2010074168A JP2009216790A JP2009216790A JP2010074168A JP 2010074168 A JP2010074168 A JP 2010074168A JP 2009216790 A JP2009216790 A JP 2009216790A JP 2009216790 A JP2009216790 A JP 2009216790A JP 2010074168 A JP2010074168 A JP 2010074168A
- Authority
- JP
- Japan
- Prior art keywords
- photoresist
- dielectric constant
- low dielectric
- cured photoresist
- ozone
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 199
- 238000000034 method Methods 0.000 title claims abstract description 110
- 239000000758 substrate Substances 0.000 title claims abstract description 39
- 239000004065 semiconductor Substances 0.000 title description 9
- 238000004140 cleaning Methods 0.000 claims abstract description 54
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims abstract description 52
- 230000005855 radiation Effects 0.000 claims abstract description 33
- 239000000203 mixture Substances 0.000 claims abstract description 31
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 17
- 239000001301 oxygen Substances 0.000 claims abstract description 16
- 239000012298 atmosphere Substances 0.000 claims abstract description 14
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 14
- 239000000463 material Substances 0.000 claims description 76
- 239000010410 layer Substances 0.000 claims description 73
- 239000000126 substance Substances 0.000 claims description 71
- 238000011282 treatment Methods 0.000 claims description 58
- 239000003960 organic solvent Substances 0.000 claims description 45
- RUOJZAUFBMNUDX-UHFFFAOYSA-N propylene carbonate Chemical compound CC1COC(=O)O1 RUOJZAUFBMNUDX-UHFFFAOYSA-N 0.000 claims description 45
- 230000008569 process Effects 0.000 claims description 32
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 24
- 239000002904 solvent Substances 0.000 claims description 16
- 239000007864 aqueous solution Substances 0.000 claims description 14
- 239000007789 gas Substances 0.000 claims description 14
- 239000006117 anti-reflective coating Substances 0.000 claims description 10
- 239000000654 additive Substances 0.000 claims description 9
- 238000004519 manufacturing process Methods 0.000 claims description 7
- 230000000996 additive effect Effects 0.000 claims description 6
- 239000002738 chelating agent Substances 0.000 claims description 4
- 230000007797 corrosion Effects 0.000 claims description 4
- 238000005260 corrosion Methods 0.000 claims description 4
- 239000003112 inhibitor Substances 0.000 claims description 4
- 239000004094 surface-active agent Substances 0.000 claims description 4
- 239000011247 coating layer Substances 0.000 claims description 3
- 102100023698 C-C motif chemokine 17 Human genes 0.000 claims 1
- 101000978362 Homo sapiens C-C motif chemokine 17 Proteins 0.000 claims 1
- 238000012545 processing Methods 0.000 abstract description 19
- 239000003989 dielectric material Substances 0.000 abstract description 16
- 239000012634 fragment Substances 0.000 abstract description 2
- 210000002381 plasma Anatomy 0.000 description 23
- 239000000523 sample Substances 0.000 description 17
- 238000009281 ultraviolet germicidal irradiation Methods 0.000 description 17
- 239000000243 solution Substances 0.000 description 14
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 13
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 12
- 229910052753 mercury Inorganic materials 0.000 description 12
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 description 11
- 230000009977 dual effect Effects 0.000 description 9
- 238000001020 plasma etching Methods 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 238000010521 absorption reaction Methods 0.000 description 8
- 229910021641 deionized water Inorganic materials 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 7
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- 230000000694 effects Effects 0.000 description 7
- 238000012360 testing method Methods 0.000 description 7
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 6
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 230000007423 decrease Effects 0.000 description 6
- 238000005530 etching Methods 0.000 description 6
- 238000007654 immersion Methods 0.000 description 6
- 238000005468 ion implantation Methods 0.000 description 6
- 238000000572 ellipsometry Methods 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- AEMRFAOFKBGASW-UHFFFAOYSA-N Glycolic acid Chemical compound OCC(O)=O AEMRFAOFKBGASW-UHFFFAOYSA-N 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000001035 drying Methods 0.000 description 4
- 230000007613 environmental effect Effects 0.000 description 4
- 125000000686 lactone group Chemical group 0.000 description 4
- 239000012299 nitrogen atmosphere Substances 0.000 description 4
- 239000007800 oxidant agent Substances 0.000 description 4
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 4
- 229920000193 polymethacrylate Polymers 0.000 description 4
- 239000004926 polymethyl methacrylate Substances 0.000 description 4
- 230000007017 scission Effects 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000003776 cleavage reaction Methods 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 150000002148 esters Chemical class 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 230000001590 oxidative effect Effects 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- 238000005507 spraying Methods 0.000 description 3
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 2
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- BVKZGUZCCUSVTD-UHFFFAOYSA-L Carbonate Chemical compound [O-]C([O-])=O BVKZGUZCCUSVTD-UHFFFAOYSA-L 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- ORILYTVJVMAKLC-UHFFFAOYSA-N adamantane Chemical compound C1C(C2)CC3CC1CC2C3 ORILYTVJVMAKLC-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000005587 bubbling Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 2
- JYYOBHFYCIDXHH-UHFFFAOYSA-N carbonic acid;hydrate Chemical compound O.OC(O)=O JYYOBHFYCIDXHH-UHFFFAOYSA-N 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 238000012512 characterization method Methods 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 229920001577 copolymer Polymers 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 238000004132 cross linking Methods 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 238000004090 dissolution Methods 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 230000001678 irradiating effect Effects 0.000 description 2
- 150000002596 lactones Chemical class 0.000 description 2
- 239000007791 liquid phase Substances 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 229920000058 polyacrylate Polymers 0.000 description 2
- 239000003586 protic polar solvent Substances 0.000 description 2
- HNJBEVLQSNELDL-UHFFFAOYSA-N pyrrolidin-2-one Chemical compound O=C1CCCN1 HNJBEVLQSNELDL-UHFFFAOYSA-N 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 238000000391 spectroscopic ellipsometry Methods 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 150000003462 sulfoxides Chemical class 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 238000000862 absorption spectrum Methods 0.000 description 1
- 150000001335 aliphatic alkanes Chemical group 0.000 description 1
- 239000012300 argon atmosphere Substances 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 238000010504 bond cleavage reaction Methods 0.000 description 1
- 238000006664 bond formation reaction Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 229920006037 cross link polymer Polymers 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000001187 ellipsometric porosimetry Methods 0.000 description 1
- 238000000295 emission spectrum Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000004185 ester group Chemical group 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 238000005187 foaming Methods 0.000 description 1
- 238000013467 fragmentation Methods 0.000 description 1
- 238000006062 fragmentation reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 230000036541 health Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 description 1
- 150000004702 methyl esters Chemical class 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000007524 organic acids Chemical class 0.000 description 1
- 235000005985 organic acids Nutrition 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000012667 polymer degradation Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 239000013074 reference sample Substances 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 238000001878 scanning electron micrograph Methods 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 230000007928 solubilization Effects 0.000 description 1
- 238000005063 solubilization Methods 0.000 description 1
- 235000015096 spirit Nutrition 0.000 description 1
- 238000002604 ultrasonography Methods 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- 238000007704 wet chemistry method Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
【解決手段】硬化したフォトレジストを、低誘電率材料を含む基板から除去し、低誘電率材料の特性を保存する方法を提供する。a)硬化したフォトレジストおよび少なくとも部分的に露出した低誘電率材料を含む基板を用意する。b)硬化したフォトレジストを、真空または不活性雰囲気で200nm〜300nmの波長を有するUV放射で露光することによって、硬化したフォトレジスト中にC=C二重結合を形成する。c)硬化したフォトレジストを、オゾン(O3)またはオゾン(O3)と酸素(O2)の混合物と反応させることによって、b)で形成されたC=C二重結合を破壊し、これにより硬化フォトレジストを断片化する。d)クリーニング化学薬品を用いた湿式処理によって、c)で得られた断片化したフォトレジストを除去する。
【選択図】図1
Description
a)硬化したフォトレジストおよび少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
b)硬化したフォトレジストを、真空または不活性雰囲気で(約)200nm〜(約)300nmの波長を有するUV放射で露光することによって、硬化したフォトレジスト中にC=C二重結合を形成するステップ。
c)硬化したフォトレジストを、オゾン(O3)またはオゾン(O3)と酸素(O2)の混合物と反応させることによって、ステップb)で形成されたC=C二重結合を破壊し、これにより硬化したフォトレジストを断片化するステップ。
d)クリーニング化学薬品(chemistries)を用いた湿式処理によって、ステップc)で得られた断片化したフォトレジストを除去するステップ。
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
・硬化したフォトレジストを、真空または不活性雰囲気で(約)200nm〜(約)300nmの波長を有するUV放射で前処理(または露光)するステップ。
・オゾンを含むクリーニング化学薬品中の湿式処理によって、前処理した硬化フォトレジストを除去するステップ。
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
・硬化したフォトレジストを、O2、オゾン(O3)またはO3とO2の混合物の存在下で(約)260nm〜(約)300nmの波長を有するUV放射で前処理するステップ。
・クリーニング化学薬品中の湿式処理によって、前処理した硬化フォトレジストを除去するステップ。
a)硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
b)硬化したフォトレジストを、真空または不活性雰囲気で(約)200nm〜(約)300nmの波長を有するUV放射で露光することによって、硬化したフォトレジスト中にC=C二重結合を形成するステップ。
c)硬化したフォトレジストを、オゾン(O3)またはオゾン(O3)と酸素(O2)の混合物と反応させることによって、ステップb)で形成されたC=C二重結合を破壊し、これにより硬化したフォトレジストを断片化するステップ。
d)クリーニング化学薬品を用いた湿式処理によって、ステップc)で得られた断片化したフォトレジストを除去するステップ。
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
・硬化したフォトレジスト層を、真空または不活性雰囲気で200nm〜300nmの波長を有するUV放射で前処理するステップ。
・オゾンを含むクリーニング化学薬品中の湿式処理によって、前処理し硬化したフォトレジストを除去するステップ。
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
・硬化したフォトレジストを、O2、またはO3あるいはO2とO3の混合物の存在下で(約)260nm〜(約)300nmの波長を有するUV放射で前処理するステップ。
・クリーニング化学薬品中の湿式処理によって、前処理し硬化したフォトレジストを除去するステップ。
Claims (15)
- 硬化したフォトレジストを、低誘電率材料を含む基板から除去し、低誘電率材料の特性を保存する方法であって、
a)硬化したフォトレジストおよび少なくとも部分的に露出した低誘電率材料を含む基板を用意するステップと、
b)硬化したフォトレジストを、真空または不活性雰囲気で、200nm〜300nmの波長を有するUV放射で露光することによって、硬化したフォトレジスト中にC=C二重結合を形成するステップと、
c)硬化したフォトレジストを、オゾン(O3)またはオゾン(O3)と酸素(O2)の混合物と反応させることによって、ステップb)で形成されたC=C二重結合を破壊して、硬化したフォトレジストを断片化するステップと、
d)クリーニング化学薬品を用いた湿式処理によって、ステップc)で得られた断片化したフォトレジストを除去するステップと、を含む方法。 - 形成されたC=C二重結合を破壊するステップ、および断片化したフォトレジストを除去するステップは、オゾンを含むクリーニング化学薬品での湿式処理によって実質的に同時に行われる請求項1記載の方法。
- UV放射は、260nmより高い波長を有する請求項1または2記載の方法。
- 硬化したフォトレジスト中にC=C二重結合を形成するステップ、および形成されたC=C二重結合を破壊するステップは、酸素(O2)、オゾン(O3)またはO3とO2の混合物を供給するとともに、硬化したフォトレジストをUV放射に露出することによって、実質的に同時に行われる請求項3記載の方法。
- 不活性雰囲気は、N2、希ガス、またはこれらの混合を含む請求項1〜3のいずれかに記載の方法。
- 硬化したフォトレジスト層を、低誘電率材料を含む基板から除去し、低誘電率材料の特性を保存する方法であって、
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率材料を含む基板を用意するステップと、
・硬化したフォトレジストを、真空または不活性雰囲気で、200nm〜300nmの波長を有するUV放射で前処理するステップと、
・オゾンを含むクリーニング化学薬品中の湿式処理によって、前処理した硬化フォトレジストを除去するステップと、含む方法。 - 硬化したフォトレジスト層を、低誘電率材料を含む基板から除去し、低誘電率材料の特性を保存する方法であって、
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率材料を含む基板を用意するステップと、
・硬化したフォトレジストを、O2、オゾン(O3)またはO3とO2の混合物の存在下で、260nm〜300nmの波長を有するUV放射で前処理するステップと、
・クリーニング化学薬品中の湿式処理によって、前処理した硬化フォトレジストを除去するステップと、を含む方法。 - クリーニング化学薬品は、水溶液からなる請求項1〜7のいずれかに記載の方法。
- 水溶液は、脱イオン(DI)水からなる請求項8記載の方法。
- クリーニング化学薬品は、有機溶媒またはこれらの混合物からなる請求項1〜7のいずれかに記載の方法。
- 有機溶媒は、ハロゲン化溶媒、プロピレンカーボネート(PC)およびこれらの混合物からなるグループから選択される請求項10記載の方法。
- クリーニング化学薬品は、例えば、界面活性剤、腐食防止剤またはキレート剤などの化学添加剤をさらに含む請求項1〜11のいずれかに記載の方法。
- 断片化したフォトレジストを除去した後、脱イオン(DI)水または有機溶媒を用いた追加のリンス処理を行うことを含む請求項1〜12のいずれかに記載の方法。
- 電子デバイスの製造のための請求項1〜13のいずれかに記載の方法の使用。
- 硬化したフォトレジスト層は、複数層の積層を備え、前記複数層は、反射防止コーティング層(ARC)、好ましくは、上部反射防止コーティング層(TARC)または下部反射防止コーティング層(BARC)、より好ましくは、下部反射防止コーティング層を含む請求項1〜14のいずれかに記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US9847408P | 2008-09-19 | 2008-09-19 | |
US61/098,474 | 2008-09-19 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2010074168A true JP2010074168A (ja) | 2010-04-02 |
JP5329355B2 JP5329355B2 (ja) | 2013-10-30 |
Family
ID=41259804
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009216790A Active JP5329355B2 (ja) | 2008-09-19 | 2009-09-18 | 硬化フォトレジストを半導体基板から除去する方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US8277564B2 (ja) |
EP (1) | EP2166564B1 (ja) |
JP (1) | JP5329355B2 (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2018056274A (ja) * | 2016-09-28 | 2018-04-05 | 株式会社Screenホールディングス | 基板処理方法及び基板処理装置 |
JP2019062219A (ja) * | 2013-09-04 | 2019-04-18 | 東京エレクトロン株式会社 | 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離 |
Families Citing this family (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2166564B1 (en) * | 2008-09-19 | 2017-04-12 | Imec | Method for removing a hardened photoresist from a semiconductor substrate |
US8449681B2 (en) | 2010-12-16 | 2013-05-28 | Intermolecular, Inc. | Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate |
US8734662B2 (en) * | 2011-12-06 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Techniques providing photoresist removal |
US9966280B2 (en) * | 2012-10-05 | 2018-05-08 | Tokyo Electron Limited | Process gas generation for cleaning of substrates |
US9748120B2 (en) | 2013-07-01 | 2017-08-29 | Lam Research Ag | Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus |
US9093376B2 (en) | 2012-10-24 | 2015-07-28 | International Business Machines Corporation | Replacement metal gate FinFET |
US9805946B2 (en) * | 2013-08-30 | 2017-10-31 | Taiwan Semiconductor Manufacturing Company Limited | Photoresist removal |
US9349604B2 (en) | 2013-10-20 | 2016-05-24 | Tokyo Electron Limited | Use of topography to direct assembly of block copolymers in grapho-epitaxial applications |
US9793137B2 (en) | 2013-10-20 | 2017-10-17 | Tokyo Electron Limited | Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines |
CN104779136A (zh) * | 2014-01-10 | 2015-07-15 | 上海和辉光电有限公司 | 一种去除光致抗蚀剂的方法和设备 |
CN103996617A (zh) * | 2014-06-09 | 2014-08-20 | 上海华力微电子有限公司 | 离子注入工艺后的光刻胶层的去除方法 |
US9583380B2 (en) * | 2014-07-17 | 2017-02-28 | Globalfoundries Inc. | Anisotropic material damage process for etching low-K dielectric materials |
US10490426B2 (en) | 2014-08-26 | 2019-11-26 | Lam Research Ag | Method and apparatus for processing wafer-shaped articles |
US9947597B2 (en) | 2016-03-31 | 2018-04-17 | Tokyo Electron Limited | Defectivity metrology during DSA patterning |
KR102614850B1 (ko) | 2016-10-05 | 2023-12-18 | 삼성전자주식회사 | 반도체 소자 제조방법 |
KR102121237B1 (ko) * | 2018-12-06 | 2020-06-10 | 세메스 주식회사 | 기판 처리 장치 및 방법 |
Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5126929A (ja) * | 1974-06-28 | 1976-03-05 | Rhone Poulenc Ind | |
JPH04211114A (ja) * | 1990-03-05 | 1992-08-03 | Nec Corp | フォトレジスト除去装置 |
JPH05109674A (ja) * | 1991-10-18 | 1993-04-30 | Ushio Inc | レジスト膜の灰化方法と灰化装置 |
JPH06333924A (ja) * | 1993-05-20 | 1994-12-02 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2001196348A (ja) * | 2000-01-12 | 2001-07-19 | Seiko Epson Corp | 有機物の分解方法、および半導体素子の製造方法 |
JP2001223206A (ja) * | 1999-12-03 | 2001-08-17 | Mitsubishi Electric Corp | 基板処理方法および装置 |
JP2003282518A (ja) * | 2002-03-25 | 2003-10-03 | Pyuarekkusu:Kk | 有機被膜の除去方法および除去剤 |
JP2003337432A (ja) * | 2002-05-20 | 2003-11-28 | Tsukuba Semi Technology:Kk | 機能水を使ったレジスト除去方法、およびその装置 |
JP2004029696A (ja) * | 2002-04-26 | 2004-01-29 | Tokyo Ohka Kogyo Co Ltd | ホトレジスト剥離方法 |
JP2004517475A (ja) * | 2000-12-22 | 2004-06-10 | アクセリス テクノロジーズ インコーポレーテッド | イオン注入後にフォトレジストを除去するための処理方法 |
JP2005072308A (ja) * | 2003-08-26 | 2005-03-17 | Sony Corp | レジストの除去方法および半導体装置の製造方法 |
US20050245082A1 (en) * | 2004-04-28 | 2005-11-03 | Taiwan Semiconductor Manufacturing Co. | Process for removing organic materials during formation of a metal interconnect |
JP2006261676A (ja) * | 2006-03-17 | 2006-09-28 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
JP2008091534A (ja) * | 2006-09-29 | 2008-04-17 | Tokyo Electron Ltd | 基板処理方法、洗浄方法、基板処理装置及び記憶媒体 |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63260028A (ja) * | 1986-11-19 | 1988-10-27 | Tokyo Ohka Kogyo Co Ltd | ホトレジストの熱安定化装置 |
US6127279A (en) * | 1994-09-26 | 2000-10-03 | Semiconductor Energy Laboratory Co., Ltd. | Solution applying method |
TW459165B (en) * | 1999-10-22 | 2001-10-11 | Mosel Vitelic Inc | Method for the rework of photoresist |
JP4683685B2 (ja) * | 2000-01-17 | 2011-05-18 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法、フラッシュメモリの製造方法、およびスタティックランダムアクセスメモリの製造方法 |
US7160671B2 (en) * | 2001-06-27 | 2007-01-09 | Lam Research Corporation | Method for argon plasma induced ultraviolet light curing step for increasing silicon-containing photoresist selectivity |
JP4038557B2 (ja) * | 2002-04-16 | 2008-01-30 | リアライズ・アドバンストテクノロジ株式会社 | レジスト除去装置及びレジスト除去方法 |
KR100610452B1 (ko) * | 2003-04-08 | 2006-08-09 | 주식회사 하이닉스반도체 | 포토레지스트 폴리머 제거용 세정제 조성물 |
US7731799B2 (en) * | 2003-12-18 | 2010-06-08 | Tokyo Electron Limited | Substrate processing method, substrate processing apparatus and computer-readable memory medium |
US20050279453A1 (en) * | 2004-06-17 | 2005-12-22 | Uvtech Systems, Inc. | System and methods for surface cleaning |
US20070012335A1 (en) * | 2005-07-18 | 2007-01-18 | Chang Hsiao C | Photomask cleaning using vacuum ultraviolet (VUV) light cleaning |
KR100599056B1 (ko) * | 2005-07-21 | 2006-07-12 | 삼성전자주식회사 | 포토레지스트 제거 장치 및 방법 |
US20070178404A1 (en) * | 2006-01-30 | 2007-08-02 | International Business Machines Corporation | Methods of preventing defects in antireflective coatings |
US7402213B2 (en) * | 2006-02-03 | 2008-07-22 | Applied Materials, Inc. | Stripping and removal of organic-containing materials from electronic device substrate surfaces |
US20070254476A1 (en) * | 2006-04-28 | 2007-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cleaning porous low-k material in the formation of an interconnect structure |
EP2166564B1 (en) * | 2008-09-19 | 2017-04-12 | Imec | Method for removing a hardened photoresist from a semiconductor substrate |
-
2009
- 2009-09-16 EP EP09170481.7A patent/EP2166564B1/en active Active
- 2009-09-17 US US12/561,661 patent/US8277564B2/en active Active
- 2009-09-18 JP JP2009216790A patent/JP5329355B2/ja active Active
Patent Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5126929A (ja) * | 1974-06-28 | 1976-03-05 | Rhone Poulenc Ind | |
JPH04211114A (ja) * | 1990-03-05 | 1992-08-03 | Nec Corp | フォトレジスト除去装置 |
JPH05109674A (ja) * | 1991-10-18 | 1993-04-30 | Ushio Inc | レジスト膜の灰化方法と灰化装置 |
JPH06333924A (ja) * | 1993-05-20 | 1994-12-02 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2001223206A (ja) * | 1999-12-03 | 2001-08-17 | Mitsubishi Electric Corp | 基板処理方法および装置 |
JP2001196348A (ja) * | 2000-01-12 | 2001-07-19 | Seiko Epson Corp | 有機物の分解方法、および半導体素子の製造方法 |
JP2004517475A (ja) * | 2000-12-22 | 2004-06-10 | アクセリス テクノロジーズ インコーポレーテッド | イオン注入後にフォトレジストを除去するための処理方法 |
JP2003282518A (ja) * | 2002-03-25 | 2003-10-03 | Pyuarekkusu:Kk | 有機被膜の除去方法および除去剤 |
JP2004029696A (ja) * | 2002-04-26 | 2004-01-29 | Tokyo Ohka Kogyo Co Ltd | ホトレジスト剥離方法 |
JP2003337432A (ja) * | 2002-05-20 | 2003-11-28 | Tsukuba Semi Technology:Kk | 機能水を使ったレジスト除去方法、およびその装置 |
JP2005072308A (ja) * | 2003-08-26 | 2005-03-17 | Sony Corp | レジストの除去方法および半導体装置の製造方法 |
US20050245082A1 (en) * | 2004-04-28 | 2005-11-03 | Taiwan Semiconductor Manufacturing Co. | Process for removing organic materials during formation of a metal interconnect |
JP2006261676A (ja) * | 2006-03-17 | 2006-09-28 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
JP2008091534A (ja) * | 2006-09-29 | 2008-04-17 | Tokyo Electron Ltd | 基板処理方法、洗浄方法、基板処理装置及び記憶媒体 |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2019062219A (ja) * | 2013-09-04 | 2019-04-18 | 東京エレクトロン株式会社 | 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離 |
JP2018056274A (ja) * | 2016-09-28 | 2018-04-05 | 株式会社Screenホールディングス | 基板処理方法及び基板処理装置 |
WO2018061860A1 (ja) * | 2016-09-28 | 2018-04-05 | 株式会社Screenホールディングス | 基板処理方法及び基板処理装置 |
Also Published As
Publication number | Publication date |
---|---|
EP2166564B1 (en) | 2017-04-12 |
EP2166564A2 (en) | 2010-03-24 |
EP2166564A3 (en) | 2011-11-02 |
US8277564B2 (en) | 2012-10-02 |
JP5329355B2 (ja) | 2013-10-30 |
US20100071718A1 (en) | 2010-03-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5329355B2 (ja) | 硬化フォトレジストを半導体基板から除去する方法 | |
TWI667708B (zh) | 蝕刻後聚合物及硬遮罩移除之加強型移除用方法及硬體 | |
US7585777B1 (en) | Photoresist strip method for low-k dielectrics | |
US6524936B2 (en) | Process for removal of photoresist after post ion implantation | |
US8129281B1 (en) | Plasma based photoresist removal system for cleaning post ash residue | |
KR20060064621A (ko) | 플라즈마 애싱 프로세스 | |
JP2012509592A (ja) | 灰化方法及び装置による基板工程プラズマ | |
JP6093446B2 (ja) | 基板を清浄化するためのプロセスガスの生成 | |
KR100505693B1 (ko) | 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법 | |
JP4359847B2 (ja) | 低k誘電体フィルムのための乾燥処理 | |
KR100969027B1 (ko) | 세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법 | |
JP5236915B2 (ja) | レジスト層を基板から除去する方法 | |
JP4320982B2 (ja) | 基材処理装置 | |
Kesters et al. | Removal of post-etch 193 nm photoresist in porous low-k dielectric patterning using UV irradiation and ozonated water | |
US8017568B2 (en) | Cleaning residues from semiconductor structures | |
CN1960813A (zh) | 在制备集成电路产品过程中用于干燥构图晶片的组合物和方法 | |
Claes et al. | All-wet strip approaches for post-etch photoresist layers after low-k patterning | |
JP2004157424A (ja) | レジストの剥離方法及び半導体装置の製造方法 | |
JP2011014696A (ja) | 有機質物除去方法 | |
US6423646B1 (en) | Method for removing etch-induced polymer film and damaged silicon layer from a silicon surface | |
Le et al. | Modification of photoresist by UV for post-etch wet strip applications | |
Kesters et al. | Towards Fully Aqueous Ozone Wet Strip of 193 nm Photoresist Stack Using UV Pre-Treatments in Low-k Patterning Applications | |
Le et al. | Wet Clean Applications in Porous Low‐k Patterning Processes | |
Kesters et al. | Influence of UV irradiation on the removal of post-etch photoresist in porous low-k dielectric patterning | |
Kesters et al. | PR and BARC Wet Strip in BEOL Patterning Using a UV-Enabled Aqueous Process |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20120403 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20130110 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130122 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130417 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20130702 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130724 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5329355 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |