JP2010074168A - 硬化フォトレジストを半導体基板から除去する方法 - Google Patents

硬化フォトレジストを半導体基板から除去する方法 Download PDF

Info

Publication number
JP2010074168A
JP2010074168A JP2009216790A JP2009216790A JP2010074168A JP 2010074168 A JP2010074168 A JP 2010074168A JP 2009216790 A JP2009216790 A JP 2009216790A JP 2009216790 A JP2009216790 A JP 2009216790A JP 2010074168 A JP2010074168 A JP 2010074168A
Authority
JP
Japan
Prior art keywords
photoresist
dielectric constant
low dielectric
cured photoresist
ozone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009216790A
Other languages
English (en)
Other versions
JP5329355B2 (ja
Inventor
Quoc Toan Le
クオック・トーン・リー
Els Kesters
エルス・ケステルス
Guy Vereecke
ギィ・ヴェレーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2010074168A publication Critical patent/JP2010074168A/ja
Application granted granted Critical
Publication of JP5329355B2 publication Critical patent/JP5329355B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Abstract

【課題】下地となる低誘電率材料にダメージを与えることなく、硬化したフォトレジストを除去する。
【解決手段】硬化したフォトレジストを、低誘電率材料を含む基板から除去し、低誘電率材料の特性を保存する方法を提供する。a)硬化したフォトレジストおよび少なくとも部分的に露出した低誘電率材料を含む基板を用意する。b)硬化したフォトレジストを、真空または不活性雰囲気で200nm〜300nmの波長を有するUV放射で露光することによって、硬化したフォトレジスト中にC=C二重結合を形成する。c)硬化したフォトレジストを、オゾン(O)またはオゾン(O)と酸素(O)の混合物と反応させることによって、b)で形成されたC=C二重結合を破壊し、これにより硬化フォトレジストを断片化する。d)クリーニング化学薬品を用いた湿式処理によって、c)で得られた断片化したフォトレジストを除去する。
【選択図】図1

Description

本発明は、硬化したフォトレジスト、例えば、プラズマエッチングされたフォトレジスト膜またはイオン注入されたフォトレジスト膜を、多孔質(低誘電率(low-κ))誘電材料を含む半導体基板から除去する方法に関するもので、多孔質(低誘電率(low-κ))誘電材料の特性を保存する。
半導体デバイスのBEOL工程(配線工程:Back-End-Of-Line)において、プラズマエッチング後に残留するフォトレジスト(PR)層(エッチング後のフォトレジストまたは硬化したフォトレジスト)は、伝統的には、アッシング(ashing)として知られた、酸素含有プラズマ処理を用いて除去している。プラズマ処理、特に、酸素含有プラズマ処理は、フォトレジスト除去時に露出する多孔質(低誘電率(low-κ))誘電材料に対して、半導体デバイスの性能が劣化する程度のダメージを引き起こすことが知られている。
多孔質(低誘電率(low-κ))誘電材料へのダメージを最小化するために、多くは有機溶媒をベースとした代替の湿式化学方法が、新たな興味を獲得しつつある。しかしながら、PR表面においてエッチングプラズマによって生成される「外皮(crust)」の存在は、プラズマエッチングされたフォトレジストを純粋な有機溶媒によって完全に除去することを不可能にする。外皮は、多くは架橋ポリマーで構成されており、幾つかのタイプの有機溶媒には溶解しない。
多孔質誘電材料は、低誘電率(low-κ)材料として知られており、二酸化シリコン(κSiO2=3.9)の誘電率より低い誘電率を有する誘電材料である。低誘電率(low-κ)材料の損失およびκ値の整合性(integrity)(フォトレジスト除去時に多孔質誘電材料のκ値を保存する)についての仕様は、純粋な湿式化学方法によって満足させることが困難である。
挑戦することは、ダメージを与えることなく(化学的または構造的に)、あるいは露出した低誘電率(low-κ)材料をエッチングすることなく、硬化したフォトレジストを除去することである。この挑戦は、単一ウエハ(SW)処理において満足させることが特に困難であり、硬化したPRを短時間(例えば、約1分間。一方、バッチシステムは10分〜30分を要する)で除去することが要求されている。スループット要求を満足するために化学薬品の濃度を増加させることは、低誘電率(low-κ)材料が露出する場合、有効な手法ではない。
湿式の剥離化学薬品(即ち、溶媒を含む、液相の化学物質(または溶液))は、通常、有機溶媒をベースとしている。硬化したPRは水に溶解しないポリマーを含むためである。しかしながら、より厳しい環境規制は、製造時に使用する溶媒の環境・安全・健康(ESH: Environmental, Safety & Health)に関する影響の低減を要求している。ESHの観点とは別に、有機溶媒は、通常、成分および廃棄物処理からより高いコストをもたらす。
本発明は、硬化したフォトレジストを、低誘電率(low-κ)材料を含む基板から除去し、低誘電率(low-κ)材料の特性を保存する方法を提供する。該方法は、下記ステップを含む(または、下記ステップのみからなる)。
a)硬化したフォトレジストおよび少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
b)硬化したフォトレジストを、真空または不活性雰囲気で(約)200nm〜(約)300nmの波長を有するUV放射で露光することによって、硬化したフォトレジスト中にC=C二重結合を形成するステップ。
c)硬化したフォトレジストを、オゾン(O)またはオゾン(O)と酸素(O)の混合物と反応させることによって、ステップb)で形成されたC=C二重結合を破壊し、これにより硬化したフォトレジストを断片化するステップ。
d)クリーニング化学薬品(chemistries)を用いた湿式処理によって、ステップc)で得られた断片化したフォトレジストを除去するステップ。
好ましくは、本発明に係る方法において、形成されたC=C二重結合を破壊するステップおよび断片化したフォトレジストを除去するステップは、オゾンを含むクリーニング化学薬品での湿式処理によって実質的に同時に行われる。
本発明の方法の好ましい態様では、形成されたC=C二重結合を破壊するステップおよび断片化したフォトレジストを除去するステップは、オゾンを含むクリーニング化学薬品での湿式処理によって実質的に同時に行われ、オゾンを含むクリーニング化学薬品への露出時間(または接触時間)は、好ましくは(約)10分(600秒)未満、より好ましくは(約)5分(300秒)未満、さらにより好ましくは(約)2分(120秒)未満、さらにより好ましくは(約)1分(60秒)未満である。また、好ましくは、オゾンを含むクリーニング化学薬品への露出時間(または接触時間)は、(約)1秒〜(約)600秒、より好ましくは(約)30秒〜(約)300秒、さらにより好ましくは(約)30秒〜(約)120秒、さらにより好ましくは(約)60秒〜(約)120秒、最も好ましくは(約)120秒である。
本発明の特定の実施形態では、上述したようなオゾンを含むクリーニング化学薬品で提供されるOの量(または、使用するO濃度)は、(約)30ppm〜(約)300ppmの範囲である。
好ましくは、本発明に係る方法において、UV放射は(約)260nmより高い波長を有する。
好ましくは、本発明に係る方法において、硬化したフォトレジスト中にC=C二重結合を形成するステップおよび形成されたC=C二重結合を破壊するステップは、酸素(O)、オゾン(O)またはOとOの混合物を供給する(例えば、オゾン発生器から供給)とともに、硬化したフォトレジストをUV放射に露出することによって、実質的に同時に行われる。
好ましくは、本発明に係る方法において、UV照射の時間(またはUV露光時間)は、(約)10分(600秒)未満、より好ましくは(約)5分(300秒)未満、さらにより好ましくは(約)2分(120秒)未満、さらにより好ましくは(約)1分(60秒)未満である。また、好ましくは、UV照射の時間は、(約)1秒〜(約)600秒、より好ましくは(約)30秒〜(約)300秒、さらにより好ましくは(約)30秒〜(約)120秒、さらにより好ましくは(約)60秒〜(約)120秒、最も好ましくは(約)120秒である。
好ましくは、本発明に係る方法において、不活性雰囲気は、N、希ガス、またはこれらの混合を含む。
本発明の他の態様は、硬化したフォトレジスト層を、低誘電率(low-κ)材料を含む基板から除去し、低誘電率(low-κ)材料の特性を保存する方法である。該方法は、下記ステップを含む(または、下記ステップのみからなる)。
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
・硬化したフォトレジストを、真空または不活性雰囲気で(約)200nm〜(約)300nmの波長を有するUV放射で前処理(または露光)するステップ。
・オゾンを含むクリーニング化学薬品中の湿式処理によって、前処理した硬化フォトレジストを除去するステップ。
本発明の他の態様は、硬化したフォトレジスト層を、低誘電率(low-κ)材料を含む基板から除去し、低誘電率(low-κ)材料の特性を保存する方法である。該方法は、下記ステップを含む。
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
・硬化したフォトレジストを、O、オゾン(O)またはOとOの混合物の存在下で(約)260nm〜(約)300nmの波長を有するUV放射で前処理するステップ。
・クリーニング化学薬品中の湿式処理によって、前処理した硬化フォトレジストを除去するステップ。
本発明の方法において、UV放射(またはUV露光)ステップおよび湿式処理ステップの両方で、オゾンが供給可能である。
好ましくは、本発明に係る方法において、クリーニング化学薬品は、水溶液(水性ベース)からなる。
好ましくは、水溶液(水性ベース)は、脱イオン(DI)水からなる。
好ましくは、本発明に係る方法において、クリーニング化学薬品は、有機溶媒またはこれらの混合物からなる。
好ましくは、有機溶媒は、ハロゲン化溶媒、プロピレンカーボネート(PC)、N−メチルピロリドン(NMP)およびこれらの混合物からなるグループから選択される。本発明の方法の1つの好ましい態様によれば、有機溶媒はハロゲン化溶媒であって、有機溶媒は、好ましくはフッ素化溶媒である。
好ましくは、本発明の方法において、クリーニング溶液として水を用いた、クリーニングステップ(即ち、湿式処理ステップ)の温度は、室温から(約)95℃の範囲であり、より好ましくは、前記クリーニングステップの温度は(約)60℃である。好ましくは、有機溶媒(例えば、イソプロピルアルコール、プロピレンカーボネート)を用いた追加のリンス処理を行う。
好ましくは、本発明の方法において、有機溶媒(可能ならば、Oとの組合せ)を用いたクリーニングステップ(即ち、湿式処理ステップ)の温度は、使用する有機溶媒の引火点未満である(約)5〜10℃である。
好ましくは、本発明に係る方法において、クリーニング化学薬品は、例えば、界面活性剤、腐食防止剤またはキレート剤などの化学添加剤を含む。
好ましくは、本発明に係る方法は、断片化したフォトレジストを除去した後、脱イオン(DI)水または有機溶媒を用いた追加のリンス処理を行うことを含む。
本発明に係る方法は、電子デバイスの製造のために使用可能である。
好ましくは、本発明の方法において、硬化したフォトレジスト層は、複数層の積層(stack)を備え、前記複数層は、反射防止コーティング層(ARC)、好ましくは、上部反射防止コーティング層(TARC)または下部反射防止コーティング層(BARC)、より好ましくは、下部反射防止コーティング層を含む。
種々の例示の実施形態について下記図面を参照して説明する。同様な符号は同様な構成要素を示す。ここで説明した図面は、概略的かつ非限定的なものである。
基板(不図示)の上にあるSiO下部層(1)と、30nmエッチング停止層SiCN/SiCO(2)と、180nm低誘電率(low-κ)材料、例えば、BD II(登録商標)(3)と、30nm金属ハードマスク TiN(4)と、33nmBARC層(5)と、150nmフォトレジスト材料(6)とを備えた、単一のダマシン(damascene)積層を概略的に示す。 下で種々の露光時間のUV放射(二波長)の前後で測定したように、初期の(堆積したまま、プラズマまたはイオン注入ビームへの露出前)フォトレジスト膜のFTIRスペクトルを示す。 下で種々の露光時間のUV放射(二波長)の前後で測定したように、プラズマ処理したフォトレジスト膜のFTIRスペクトルを示す。 下で種々のUV露光時間(二波長)の後、フォトレジストサンプルについて測定した、(OH+C=C)/OHピーク比を示す。 下でUV処理(二波長)の関数として、初期およびプラズマ処理(即ち、硬化した)のフォトレジスト層の厚さを示す。 下でのUV露光(二波長)、そして60℃のNMP中への浸漬の後、偏光解析法(ellipsometry)で測定したプラズマ処理のフォトレジスト層の厚さを示す。 下で222nmの波長を持つフォトンで1分および5分のUV放射の後、プラズマ処理(即ち、硬化した)のフォトレジスト膜のFTIRスペクトルを示す。 (表1)でまとめたフォトレジスト除去テストの後、異なるサンプルについて残留した硬化フォトレジストの厚さを示す。 種々のUV露光時間の後、プラズマ処理したフォトレジストサンプルについて測定した、(C=C + OH)/OHのFTIRピーク面積比を示す。 異なる剥離処理の後、低誘電率(low-κ)材料(κ=2.5)の上部にあるパターン化(エッチングした)TiN金属ハードマスクのSEM画像を示すものであり、図10(A)はエッチング後の基準画像、図10(B)は254nmの2分間UVの後、図10(C)は1分間のプロピレンカーボネート(PC)リンスおよびDIWリンスの後、図10(D)は254nmの2分間UVと、続いて1分間のプロピレンカーボネート(PC)リンスおよびDIWリンスの後、図10(E)は2分間のO/HO剥離と、続いて1分間のプロピレンカーボネート(PC)リンスおよびDIWリンスの後、図10(F)は254nmの2分間UVと、続いて2分間のO/HO剥離と、続いて1分間のプロピレンカーボネート(PC)リンスおよびDIWリンスの後である。 254nmのUV放射の前後で測定したように、プラズマ処理したフォトレジスト膜のFTIRスペクトルを示す。
本発明の異なる実施形態は、硬化したフォトレジストを低誘電率(low-κ)材料から除去する方法を開示するものであり、露出した低誘電率(low-κ)材料にダメージを与えることなく、より高いスループットで、最新技術よりも環境に優しいという利点を有するものである。
単一ウエハ(SW)処理は、バッチシステム処理と比べて、より短時間で硬化PRを除去することを必要とする。スループット要求を満足するために化学薬品の濃度を増加させること(即ち、使用する化学物質(または溶液)の混合物の濃度を増加させること)は、硬化PRを除去する際に低誘電率(low-κ)材料が露出/部分的に露出する場合、有効な手法ではない。これに関して、湿式化学処理前の短いUV前処理は、SWおよびバッチ式湿式剥離の両方について、希釈されまたはあまり攻撃的でない化学薬品(または溶液)の使用を可能にする。
本発明の文脈において、「湿式化学処理(または処理または方法)」とは、液相の化学物質(または溶液)の混合物を用いた処理(または処理または方法)を参照する。
溶媒ベースの化学薬品と比較して、硬化したフォトレジストを除去するための水性ベースの化学薬品は、より環境に優しい。しかしながら、水中での可溶化ポリマーは、有機溶媒と比べてより高いレベルのポリマー分解(degradation)を必要とする。スループット要求を満足するために、より高い酸化力またはより高濃度の化学薬品(または溶液)を使用することは、脆弱な多孔質(低誘電率(low-κ))誘電材料と適合しない。これに関して、水性ベースの化学処理前の短いUV前処理は、SWおよびバッチ式湿式剥離の両方について、水性化学薬品(または水溶液)の使用を可能にする。
本発明の文脈において、「有機溶媒ベースの化学薬品」とは、有機溶媒(または複数の有機溶媒)を含む化学物質(または溶液)の混合物(の使用)を参照する。
本発明の文脈において、「水性ベースの化学薬品(または複数の化学薬品)」とは、水溶液(または複数の水溶液)(の使用)を参照する。
ここで説明するのは、硬化したフォトレジスト層を、低誘電率(low-κ)材料を含む基板から除去し、低誘電率(low-κ)材料の特性を保存する方法を提供する。該方法は、下記ステップを含む(または、下記ステップのみからなる)。
a)硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
b)硬化したフォトレジストを、真空または不活性雰囲気で(約)200nm〜(約)300nmの波長を有するUV放射で露光することによって、硬化したフォトレジスト中にC=C二重結合を形成するステップ。
c)硬化したフォトレジストを、オゾン(O)またはオゾン(O)と酸素(O)の混合物と反応させることによって、ステップb)で形成されたC=C二重結合を破壊し、これにより硬化したフォトレジストを断片化するステップ。
d)クリーニング化学薬品を用いた湿式処理によって、ステップc)で得られた断片化したフォトレジストを除去するステップ。
本発明の文脈において、「低誘電率(low-κ)材料」とは、二酸化シリコン(κSiO2=3.9)の誘電率より低い誘電率κを有する誘電材料を参照する。
本発明の文脈において、「低誘電率(low-κ)材料の特性」とは、特に、検討対象である多孔質誘電材料のκ値、Si−CH基の量、及び/又はヤング率を参照する。
本発明の文脈において、「低誘電率(low-κ)材料の特性を保存する」とは、フォトレジスト除去時に多孔質誘電材料のκ値(即ち、κ値の整合性(integrity))を保存することを参照し、より詳細には、特に、フォトレジスト除去時に検討対象である多孔質誘電材料のκ値、Si−CH基の量、及び/又はヤング率を保存すること(換言すると、フォトレジスト除去時に、低誘電率(low-κ)材料の損失が発生しないこと、または露出した低誘電率(low-κ)材料の化学的または構造的なダメージが発生しないこと)を参照する。
本発明の文脈において、「露出した材料」とは、UV波長で照射され得る材料(または層)の部分(換言すると、材料(または層)の部分が、その上にある他の材料(または層)で覆われていないこと)を参照する。
本発明の文脈において、「硬化したフォトレジストをUV放射に露光すること」とは、硬化したフォトレジストをUV波長で照射することを参照する。
本発明の文脈において、「クリーニング化学薬品」とは、クリーニングステップで使用する化学物質(または溶液)の混合物を参照する。
本発明に係る方法において、前記湿式処理は、クリーニングステップを含む。
好ましくは、本発明に係る方法において、前記クリーニングステップは、プロトン性溶媒を用いて断片化したフォトレジストを除去することを含む。
好ましくは、前記クリーニングステップは、水溶液または有機溶媒を用いて断片化したフォトレジストを除去することを含む。
好ましくは、前記水溶液は、(脱イオン)水からなる。
より詳細には、有機溶媒は、ピロリドン(例えば、n−メチルピロリドンNMP)、カーボネート(例えば、プロピレンカーボネートPC)、スルホキシド、アセテート、アルコール−アミン、有機アルコール、エステルまたはこれらの混合物からなるグループから選ぶことができる。
好ましくは、前記有機溶媒は、ハロゲン化溶媒を含む(または、から成る)。
より詳細には、前記ハロゲン化溶媒は、フッ素化溶媒を含む(または、から成る)。
好ましくは、本発明に係る方法において、前記湿式処理は、前記クリーニングステップを行った後、リンス処理を行うことをさらに含む。
好ましくは、前記リンス処理の時間は、(約)30秒〜(約)10分の範囲である。
好ましくは、前記リンス処理ステップは、(脱イオン)水(DIW)または有機溶媒を用いて基板をリンス処理することを含む。より詳細には、前記有機溶媒は、イソプロピルアルコールまたはプロピレンカーボネート(PC)を含む(または、から成る)。
好ましくは、前記湿式処理の後、乾燥ステップを行う。
より詳細には、前記乾燥ステップは、Nを用いて行われる、スピン乾燥またはマランゴニ(Marangoni)乾燥とすることができる。
基板は、シリコン、シリコン・オン・インシュレータ(SOI)、シリコン−ゲルマニウム、ゲルマニウム、及び/又はIII−V半導体化合物、またはこれらの組合せを含む半導体材料でもよい。特定の実施形態では、基板はシリコンウエハである。
以下、初期のフォトレジストが、堆積したまま(コートしたまま)のフォトレジスト材料であり、何れかの照射処理、プラズマエッチングまたはイオン注入を受ける前のものである。
今回の応用で参照しているようなフォトレジスト層は、単一層または複数層の積層(stack)とすることができ、少なくとも1つの感光層(実際のフォトレジスト層)と、異なる反射防止コーティング層(ARC)、例えば、上部反射防止コーティング層(TARC)または下部反射防止コーティング層(BARC)などを含む。
本発明の文脈において、「硬化したフォトレジスト材料(または層)」とは、何れかの照射処理を受けたフォトレジスト材料(または層)を参照し、詳細には、前記硬化したフォトレジスト材料(または層)は、プラズマエッチングされたフォトレジスト材料(または層)、プラズマ変性したフォトレジスト材料(または層)、またはイオン注入されたフォトレジスト材料(または層)からなる。
前記硬化したフォトレジスト材料(または層)は、プラズマエッチングや、BEOL工程(配線工程:Back-End-Of-Line)またはFEOL工程(基板工程:Front-End-Of-Line)でのイオン注入プロセスの際、マスクとして使用できる。
硬化したフォトレジストは、プラズマエッチングや、半導体製造のFEOL工程またはBEOL工程の両方でのイオン注入プロセスの際、マスクとして使用された、プラズマエッチングされたフォトレジストまたはイオン注入されたフォトレジストであってもよい。
硬化したフォトレジスト層は、エッチングプラズマまたはイオン注入プロセスによって生ずる、フォトレジスト表面での外皮(crust)を含んでもよい。外皮の下には、フォトレジスト性質が部分的にのみ変性した中間領域/層が存在することがある。部分的に変性したフォトレジストの下には、初期の性質を依然として備えたバルクのフォトレジストからなる領域/層が存在することがある。硬化したフォトレジスト内では、別々の領域/層の間で明確な境界が存在しない。パターン密度、初期のフォトレジストの初期厚さ、およびプラズマ/イオン注入プロセスパラメータに依存して、硬化したフォトレジスト内で別々の領域/層が共存することがある。
異なる実施形態では、硬化したフォトレジスト層は、低誘電率(low-κ)材料の上に位置し、これと接触している。
他の実施形態では、硬化したフォトレジスト層は、金属ハードマスク(MHM)層の上に位置し、これと接触している。MHM層は、低誘電率(low-κ)材料の上に位置し、これと接触している金属層(例えば、TiN,TaN)を少なくとも備えてもよい。これらの実施形態では、低誘電率(low-κ)材料は、除去プロセスの際、部分的にだけ露出している。
理論によって縛られたくはないが、開示した方法の効率を説明する機構は、真空または不活性雰囲気で200nm〜300nmの波長を有するUV放射で、硬化したフォトレジストを露光することによって、炭素と炭素の二重結合(C=C)を、硬化したフォトレジスト中に形成することを含むと考えられる。これらの二重結合は、下記反応によって概略的に示すように、UV放射の際または、これに続くクリーニング化学薬品を用いた湿式処理の際に、酸化剤による選択的な化学攻撃のための追加のサイトを構成する。
クリーニング化学薬品は、好ましくは、プロトン性溶媒を含む。クリーニング化学薬品は、水性ベースの化学薬品または有機溶剤ベースの化学薬品のいずれかである。
酸化剤(例えば、OまたはOとOの混合物)を用いて炭素−炭素の二重結合(C=C)を攻撃することによって、硬化したフォトレジストを破砕することにより、半導体製造で広く使用される水性ベースの化学薬品または有機溶剤ベースの化学薬品での、硬化したフォトレジストの可溶化が可能になる。ここで使用するのに適切な水性ベースの化学薬品または有機溶剤ベースの化学薬品を見出すことは、当業者の実務の範囲内である。
不活性雰囲気は、Nまたは、何れかの不活性(希)ガス(例えば、He,Ar)、あるいはこれらの混合物を含むことができる。
オゾン発生器は、典型的には、オゾン(ガス)および酸素(ガス)の混合物を供給する。
より詳細には、オゾン発生器は、酸素(ガス)から開始して、オゾン(ガス)またはオゾンと酸素ガスの混合物を供給する。
本発明の異なる実施形態では、形成されたC=C二重結合を破壊することと、断片化したフォトレジストを除去することは、オゾン(O)を含むクリーニング化学薬品での湿式処理によってほぼ同時に行われる。本発明の幾つかの実施形態では、UV放射は、(約)260nm〜(約)300nmの波長を有し、これらは、オゾン(及び/又は酸素の分解なしで、UVおよびオゾン(及び/又は酸素)に対する硬化したフォトレジストの同時露出を可能にする。
その結果、これらの実施形態では、硬化したフォトレジスト内にC=C二重結合を形成することと、形成されたC=C二重結合を破壊することとは、酸素またはオゾン、あるいはオゾンと酸素の混合物(例えば、オゾン発生器から供給される)を供給するとともに、硬化したフォトレジストをUV放射に露光することによって、ほぼ同時に行うことができる。
幾つかの実施形態では、硬化したフォトレジスト層を、低誘電率(low-κ)材料を含む基板から除去し、低誘電率(low-κ)材料の特性を保存する方法が開示されている。該方法は、下記ステップを含む。
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
・硬化したフォトレジスト層を、真空または不活性雰囲気で200nm〜300nmの波長を有するUV放射で前処理するステップ。
・オゾンを含むクリーニング化学薬品中の湿式処理によって、前処理し硬化したフォトレジストを除去するステップ。
本発明の文脈において、硬化したフォトレジスト層をUV放射で「前処理する」とは、硬化したフォトレジスト層をUV放射に露光すること(あるいは、フォトレジスト層をUV波長で照射すること)を参照する。
他の実施形態では、硬化したフォトレジスト層を、低誘電率(low-κ)材料を含む基板から除去し、低誘電率(low-κ)材料の特性を保存する方法が開示されている。該方法は、下記ステップを含む。
・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率(low-κ)材料を含む基板を用意するステップ。
・硬化したフォトレジストを、O、またはOあるいはOとOの混合物の存在下で(約)260nm〜(約)300nmの波長を有するUV放射で前処理するステップ。
・クリーニング化学薬品中の湿式処理によって、前処理し硬化したフォトレジストを除去するステップ。
異なる実施形態では、クリーニング化学薬品は、水溶液(水性ベース)を含む(または、これから成る)。水溶液(水性ベース)は、より環境に優しい(先行技術で用いられる有機溶媒と比べて)。追加の利点は、水溶液(水性ベース)は、硬化したフォトレジスト層の下に位置する下部反射防止コーティング層(BARC)およびおよび硬化したフォトレジストの両方を同じプロセスで除去するように選択できる点であり、これにより製造プロセスを短縮できる。さらに、UV前処理の際にオゾンが供給される実施形態では、水溶液(水性ベース)の選択は、オゾンとの適合性によって限定されない。
特定の実施形態では、水溶液(水性ベース)は、脱イオン(DI)水からなる。
異なる実施形態では、クリーニング化学薬品は、有機溶媒またはこれらの混合物を含む(または、これらから成る)。有機溶媒は、好ましくは、ハロゲン化溶媒であり、より好ましくは、フッ素化溶媒である。代替として、有機溶媒は、ピロリドン(例えば、n−メチルピロリドンNMP)、カーボネート(例えば、プロピレンカーボネートPC)、スルホキシド、アセテート、アルコール−アミン、有機アルコール、およびエステルを含むグループから選ぶことができる。
異なる実施形態では、クリーニング化学薬品は、例えば、界面活性剤、腐食防止剤(例えば、酢酸またはグリコール酸)、キレート剤などの化学添加剤、または半導体製造において一般に使用される何れか他の添加物をさらに含む。
異なる実施形態では、UV前処理が、硬化したフォトレジストに対して最初に適用される。理論によって縛られたくはないが、UV照射は、架橋したフォトレジストポリマー鎖の骨格(backbone)において炭素−炭素の二重結合(C=C)の形成をもたらし、これらは酸化剤による結合破壊のための反応サイトになる。C=C二重結合の形成に続いて、同じプロセスまたは後続のプロセスに供給された酸化剤(例えば、オゾンOまたはOとOの混合物)は、ポリマー網目を小片に破壊(または断片化または破砕)して、湿式化学薬品(溶液)中に溶解可能なものにする。
硬化したフォトレジストに対するUV放射の効果は、UV波長そしてUV光源に依存する。ポリメチル・メタクリレート(PMMA)では、C−C開裂(cleavage)とC=C形成との比率は、UV波長が減少するにつれて増加する。
本発明の方法によれば、UV照射の時間(またはUV露光時間)は、使用するUVランプのパワーに依存する。使用するUVランプのパワーと、本発明に係る方法で使用するUV露光の時間(またはUV露光時間)との最適な組合せを見出すことは、当業者の実務の範囲内である。
好ましくは、本発明の方法において、UV照射の時間(またはUV露光時間)は、(約)10分(600秒)未満、より好ましくは(約)5分(300秒)未満、さらにより好ましくは(約)2分(120秒)未満、さらにより好ましくは(約)1分(60秒)未満である。また、好ましくは、UV照射の時間は、(約)1秒〜(約)600秒、より好ましくは(約)30秒〜(約)300秒、さらにより好ましくは(約)30秒〜(約)120秒、さらにより好ましくは(約)60秒〜(約)120秒、最も好ましくは(約)120秒である。
理論によって縛られたくはないが、より短い波長(例えば、200nm未満、特に193nm未満)でのUV処理は、フォトレジストの架橋結合を引き起こす傾向がある。従って、C=C結合の生成では、高い波長(例えば、(約)200nm〜(約)300nmの波長)でUV処理を行うことが好ましい。さらに、UV放射による低誘電率(low-κ)材料のダメージの程度(多くの場合、κ値の増加として現れる)は、波長が減少するにつれて増加する。
一般に、多孔質材料または低誘電率(low-κ)材料は、UV光源による照射の際に容易に劣化する。低誘電率(low-κ)材料の劣化、例えば、Si−CH基の損失、ヤング率の増加、κ値の増加などは、(約)200nm未満の波長についてより顕著である。
後述する特定の例では、幾つかのUV光源は、例えば、UVエキシマランプ、広帯域UVランプ(例えば、水銀蒸気ランプ)、希ガスプラズマ(例えば、He,Ar)などを使用した。得られた実験結果は、200〜300nmの範囲内の波長が低誘電率(low-κ)材料に著しいダメージを引き起こさないことを示す。
硬化したフォトレジストおよび露出した低誘電率(low-κ)材料に対するUV放射の効果は、基板が露出している雰囲気に依存している。UV放射は、Oからの酸素ラジカルおよびオゾンの形成をもたらし、254nmにおいてオゾン(O)を解離して酸素ラジカルを形成する。これらの機構は、有機汚染物を除去してフォトレジスト剥離のために、UV/OプロセスそしてUV/Oプロセスにおいて使用してもよい。
1つの特定の実施形態では、除去されるフォトレジスト材料は、硬化した193nmDUVフォトレジストであり、これはポリ(メタ)アクリレートポリマー(即ち、ポリアクリレート、ポリメタクリレート、またはアクリレートとメタクリレートのコポリマー)を含む。他の実施形態では、他のフォトレジストポリマー系も使用できる。
好都合には、UV前処理の波長は、除去される特定のフォトレジストに従って調整可能である。波長は、フォトレジストポリマーの吸収スペクトルを分析する際に選択できる。
異なる実施形態では、前処理に使用するUV放射の波長は、200nm〜300nm、好ましくは222nm〜283nm(例えば、エキシマランプからの両波長、または単一帯域低圧水銀ランプからの波長(254nm))である。前処理の際、基板は、真空またはNなどの不活性ガスに保たれる。
好ましい実施形態に係る湿式処理は、基板を液槽(bath)に浸漬することによって、または溶液を基板(ウエハ)に噴霧することによって、または制御した境界層モードで実施できる。後者の場合、例えば、噴霧は、Oを含むガスで充填されたチャンバ内で行われ、あるいは、Oは、基板上で濃縮するようになる水または有機溶媒を収容した容器を通じて泡立てられる(前記水または有機溶媒は濃縮し、Oは前記水または有機溶媒の中に溶ける)。
本発明の好ましい態様によれば、前処理(断片化)した硬化フォトレジストを除去するためにオゾンを含まないクリーニング化学薬品が使用され、対応する湿式処理は、好ましくは、基板を液槽に浸漬することによって、またはクリーニング化学薬品を基板上に噴霧することによって行われる。
本発明の他の好ましい態様によれば、オゾンを含むクリーニング化学薬品が使用され、湿式処理は、好ましくは、制御した境界層モードに従って行われる。こうして薄い液体層のみが基板表面に形成され、気相から液体を経て基板表面での反応のために、より高速なO輸送を提供する。
クリーニング溶液が水である実施形態では、90℃程度の高い温度が適用できる。しかながら、有機溶媒(例えば、イソプロピルアルコールまたはプロピレンカーボネート)を用いた追加のリンス処理が、必要になるであろう。
オゾン化した化学薬品が、Oとの組合せで使用する有機溶媒を含む実施形態では、温度は、有機溶媒の引火点に応じて調整することが必要であろう。
本発明に係る方法で使用するために、Oの供給量(または使用するO濃度)と、クリーニングステップ(即ち、湿式処理ステップ)の温度との適切な組合せを見出すことは、当業者の能力の範囲内である。一般に、溶解したO濃度は、温度が高くなると減少し、一方、反応速度論、例えば、C=C結合の破壊およびPR断片化の溶解は、より高い温度で増強される。溶解したO濃度は、反応性ガスであるため、pH、または幾つかの添加物の濃度に依存することも知られている。
本発明の文脈において、「オゾン化した化学薬品」とは、クリーニングステップで使用される、オゾンを含む化学物質(または溶液)の混合物を参照する。
本発明の方法によれば、O露出の時間(またはO露出時間またはOとの接触時間)は、露出ステップの際に供給されるOの量(または使用するO濃度)に依存する。
本発明の特定の実施形態では、供給されるOの量(または使用するO濃度)は、(約)30ppm〜(約)300ppmの範囲である。
好ましくは、本発明の方法において、O露出の時間(またはO露出時間またはOとの接触時間)は、2分未満、より好ましくは1分未満である。
本発明の方法の好ましい態様では、形成されたC=C二重結合を破壊するステップおよび断片化したフォトレジストを除去するステップは、オゾンを含むクリーニング化学薬品での湿式処理によって実質的に同時に行われ、オゾンを含むクリーニング化学薬品への露出時間(または接触時間)は、好ましくは(約)10分(600秒)未満、より好ましくは(約)5分(300秒)未満、さらにより好ましくは(約)2分(120秒)未満、さらにより好ましくは(約)1分(60秒)未満である。また、好ましくは、オゾンを含むクリーニング化学薬品への露出時間(または接触時間)は、(約)1秒〜(約)600秒、より好ましくは(約)30秒〜(約)300秒、さらにより好ましくは(約)30秒〜(約)120秒、さらにより好ましくは(約)60秒〜(約)120秒、最も好ましくは(約)120秒である。
本発明に係る方法において、Oを含むクリーニングステップ時に使用するために、供給されるOの量(またはO濃度)と、O露出(またはO露出時間またはOとの接触時間)との適切な組合せを見出すことは、当業者の能力の範囲内である。
異なる実施形態では、追加の化学薬品が、オゾン化または非オゾン化された化学薬品に添加され、基板上に存在する無機残留物(例えば、低誘電率(low-κ)材料からバックスパッタされたSiまたは金属ハードマスクからの金属)または下部反射防止コーティング(BARC)の溶解を改善している。
ここで使用する適切な添加化学薬品は、当業者によって容易に特定できる。例示の添加化学薬品は、これに限定されないが、界面活性剤、腐食防止剤(例えば、酢酸またはグリコール酸などの有機酸)またはキレート剤、またはこれらの混合物などを含む。
ここで説明する方法は、低誘電率(low-κ)材料の劣化を回避するように、UVランプの波長を選択することを開示する。UVランプ波長は、Oによる吸収およびOの解離を回避するように選択される。その結果、260nmを超えて、300nmに極力接近した波長が選択される。これらの波長では、Oの解離が予想されないため、UVツールの雰囲気は、O(例えば、空気からまたは空気漏れからのもの)を含んでもよい。
水および有機溶媒の役割は、一次的には、断片化したフォトレジストを除去することである。さらに、BARC除去または無機残留物(低誘電率(low-κ)材料からバックスパッタされたSiまたはMNMからの金属)除去も、該方法の湿式クリーニングにおいて目標とされる。好都合には、湿式処理においてオゾンを使用しない実施形態(UV前処理において)では、クリーニング化学薬品および添加物の広範囲の選択が可能であり、オゾンとの適合性によって制限されない。ここで使用する適切なクリーニング化学薬品および添加物は、当業者によって容易に特定できる。
ここで説明する方法は、断片化したフォトレジストを除去した後、追加のHOまたは有機溶媒のリンス処理をさらに備える。
好ましくは、前記有機溶媒のリンス処理は、イソプロピルアルコールまたはプロピレンカーボネートを用いて行われる。
特定の実施形態では、低誘電率(low-κ)材料は、SiOCH、より詳細には、アプライドマテリアルズ社(Applied Material)のBlack Diamond II(登録商標)(BDII(登録商標)、κ値=2.5、間隙率(porosity)約25%)であった。フォトレジストは、側鎖基としてラクトンおよびアダマンタンを備えた、193nmDUVフォトリソグラフ用のポリ(メタ)アクリレートベースの樹脂(即ち、ポリアクリレート、ポリメタクリレート、またはアクリレート/メタクリレートのコポリマーをベースとしたもの)であった。約150nm厚のフォトレジスト層は、ブランケットSi基板の上に、または図1に示すように、BARC/TiNハードマスク/低誘電率(low-κ)材料/下部ハードマスク(エッチング停止層)/Siの積層体からなる単一ダマシン構造の上にコートされた。プラズマエッチングは、3つの異なるステップ、即ち、(a)5mTorr/HBr/60s、(b)8mTorr/Cl/O/20s、(c)5mTorr/Cl/HBr/16s、からなり、二周波誘電体エッチングチャンバ内で室温で実施した。
1セットの実験において、UV放射は、第1帯域λ=184.9nm、第2帯域λ=253.7nmを備えた二波長光源である水銀低圧ランプによって発生した。これらのUV処理は、窒素雰囲気下で行った。
他のセットの実験において、UV放射は、Xe*エキシマランプ(λ=172±12nm)、狭帯域KrClエキシマランプ(λ=222±1.2nm)、またはXeBr*エキシマランプ(λ=283nm)によって発生した。これらのUV処理は、10−4mbarの真空下で行った。
他のセットの実験において、UV放射は、第2帯域λ=253.7nmのみを放射する水銀低圧ランプによって発生した。これらのUV処理は、アルゴン雰囲気下で行った。
第1の例では、サンプル(ブランケットおよびパターン形成の両方)を、二帯域低圧水銀ランプからのUVに対して、異なる露光時間で露光するとともに、フォトレジスト除去(ブランケットおよびパターン形成した構造の上)を、ビーカー設備において純粋なN−メチルピロリドン(NMP)を用いて、60℃、2分の一定時間で実行した。溶媒浸漬の後、サンプルは、脱イオン水を用いて1分間リンス処理し、そしてNを用いて乾燥させた。ブランケットフォトレジストおよび多孔質の低誘電率(low-κ)サンプルでは、フーリエ変換赤外分光(FTIR)および分光偏光解析法を用いて、UV照射および次の湿式洗浄による変性の前後で、膜の特徴付けを行った。ブランケット低誘電率(low-κ)膜の誘電率は、Hgプローブによって決定した。パターン形成したサンプルでは、走査電子顕微鏡(SEM)をクリーニング効率の評価のために使用した。
初期のフォトレジストの場合、N下での184.9nmおよび253.7nmの波長帯域を持つフォトンによるUV照射は、FTIRスペクトルにおいて実質的な変化をもたらした。図2に示すように、ラクトン基(波数〜1795cm−1)およびエステル(1730cm−1)によるO=C吸収帯域は、UV処理時間の関数として強度が著しく減少した。この効果は、低誘電率(low-κ)膜をパターン形成するために用いるエッチングプラズマと極めて類似している。さらに、〜1620cm−1を中心とした吸収帯域の強度は、2分間の処理で増加し、そして、より長い処理時間では一定に留まるように見えた。ポリメチルメタクリレート(PMMA)の場合、メチルエステル側基の開裂の結果として、C=C結合がポリマー鎖において生成されることが報告されている。この開裂は、フォトレジストポリマー鎖でのC−C結合の切断(scission)によって達成できる。〜1620cm−1を中心とした肩部は、C=C結合およびHOからのOHの存在に帰着する。
同様な効果が、プラズマ処理した(即ち、硬化した)フォトレジスト層について観測された。図3に示すように、プラズマ処理後に急激に減少したラクトン基に対応したピークは、次のUV処理後、さらに減少している。驚くことに、この場合、観測されたC=C吸収結合の強度は、初期のフォトレジストについて実施された同じUV処理からのものより著しく高い。
図4は、C=C結合の形成を要約しており、1600〜1650cm−1帯域(C=C + OH)と3200〜3600cm−1帯域(OHのみ)とのFTIRピーク面積比、即ち、(OH + C=C)/OH比の変化が、初期およびプラズマ処理した(即ち、硬化した)フォトレジストの両方についてのUV処理時間の関数として観察された。一般に、研究した処理時間の範囲内では、C=C結合の濃度は、処理時間の関数として明らかに増加している。
図5に示すように、初期およびプラズマ処理した(即ち、硬化した)フォトレジストの両方について、フォトレジスト層の厚さは、2〜10分の範囲内でUV露光時間の関数として線形的に減少することが判った。
図6に示すように、UV処理した硬化フォトレジストサンプルを60℃のNMP中へ浸漬するだけでは、完全なフォトレジスト除去が得られなかった。UV露光時間に関わらず、浸漬2分後のフォトレジスト膜の厚さは、ごく同様なもの、即ち、〜60nmであった。この厚さは、プラズマ処理によって誘発される外皮(crust)の厚さに対応すると考えられる。物理力(この場合、超音波)と組み合せた、NMP中でのフォトレジスト層の剥離は、除去効率を多少改善した。しかしながら、10分を超えるUV処理時間は、図6に示すように、除去効率を向上させるように見えなかった。理論によって縛られたくはないが、フォトレジスト除去での延長したUV露光のこの負の効果は、フォトレジストポリマー鎖の架橋結合に帰着する。
172nmUV光の照射後、プラズマ処理した(即ち、硬化した)フォトレジストから得られるFTIRスペクトルは、2波長光源について観測されたものと同様な結果、即ち、ラクトン強度の著しい減少と、C=CおよびOH基(〜1620cm−1,不図示)に帰着するピークについて殆ど変化なしという結果を示した。
これに対して222nmフォトンによる照射は、上記処理と比較して著しく異なる結果を示した。ラクトン基の強度は、図7に示すように、5分の延長時間であってもUV処理の際にさらに減少しなかった。さらに、C=CおよびOH基に対応した吸収帯域の強度は、著しく増加した。
偏光解析法は、膜厚は、1分の処理後には約2nmだけ減少し、5分間処理の間は一定のままであることを示した。明らかに、これらの結果は、172nmフォトンと比べて、222nmフォトンは、膜厚に影響を与えることなく、C=C結合の発生についてより効率的であることを示す。
偏光解析間隙率計測(porosimetry)および分光偏光解析法を用いた特徴付けからの結果は、SiOCH低誘電率(low-κ)材料(例えば、BDII(登録商標))は、184.9nmおよび253.7nmの波長帯域を持つフォトンによるUV照射によってほとんど影響されないことを示している。膜の間隙率および厚さは、UV照射後は、10分間の延長時間でも同様のままであった。しかしながら、Hgプローブを用いたκ値の評価は、BDII(登録商標)のκ値は、2.55(初期)から3.06±0.05(10秒プラズマエッチング後)に増加し、2分間のUV照射後では3.40±0.07と同程度に高い値に増加することを示した。
低誘電率(low-κ)材料へのUV処理の効果は、UV処理時の雰囲気およびUV波長に依存して変化し得る。多孔質低誘電率(low-κ)材料のκ値の劣化(増加)は、200nm未満の波長を持つフォトンについてより顕著である。200nmを超える波長では、κ値の著しい変化は、水銀プローブ法で測定されなかった(Δκ<0.1)。また、膜厚(偏光解析法による)および構造または組成(FTIRによる)において、著しい変化は観測されなかった。
第2の例では、一実施形態に従って、サンプル(ブランケットおよびパターン形成したものの両方)を低圧水銀ランプからのUVに対して異なる時間で露光するとともに、ビーカー設備において、溶解したオゾンを伴う60℃のプロピレンカーボネート(PC)を用いて、異なる接触時間でフォトレジスト除去を実行した。ブランケットの硬化フォトレジストについて、実験マトリクスを(表1)に要約している。
表1は、(ブランケット)硬化フォトレジスト除去テストの実験マトリクス。
基準サンプル(0)は、プラズマエッチング処理のみ露出した。UV前処理は、2波長光源(184.9nmと253.7nm)を用いてN雰囲気で2分間、サンプル5〜8について行った。湿式処理は、基準のものを除く全てのサンプルについて、プロピレンカーボネート(PC)を用いて60℃、それぞれ1分間、5分間、10分間、O(PC溶液中で2.0L/分のO泡立ち(bubbling)で30ppm)の存在下および不存在で行った。
除去処理後、基板上に残留したフォトレジストの厚さは、偏光解析法によって測定した。図8に示すように、UV放射を用いて前処理しなかった全てのサンプルについて、PCだけに10分間露出したサンプル4以外は、不完全な除去が観測される。理論によって縛られたくはないが、膜の層間剥離(リフトオフ)処理が、この特定の場合の原因であろうと考えられる。溶媒だけの湿式処理は、後述するように、長い接触時間であっても、パターン形成したサンプルについて作用しないことに言及することは価値がある。UVを用いて2分間の前処理をした全てのサンプルは、硬化フォトレジストの完全な除去を示す。除去は、オゾン処理した(30ppm)プロピレンカーボネートを用いた1分間の湿式処理の後でも、完全である。
他の特定の実施形態では、パターン形成した硬化フォトレジストを含む異なるサンプル(図1に概略的に示し、明細書のどこかで説明したような単一ダマシン構造)は、一実施形態に係るフォトレジスト除去処理を受けた。硬化フォトレジストは、明細書のどこかで説明したようなプラズマエッチングを受けたフォトレジストである。
特定のテストでは、O/有機溶媒のみが、一定の環境条件下で、パターン形成したサンプル上の硬化PRを除去できることが示された。しかしながら、効率的な除去のための処理温度は高く(90℃)、必要な接触時間は高いスループットをサポートしない(10分間、PCおよび200ppmのオゾン濃度)。これらの処理条件下で、Oはプロピレンカーボネートを分解して、可燃性の化合物を形成するリスクを増加させた。さらに、この化学薬品(または溶液)は、BARC層を除去するのに適しておらず、追加の除去を要した。
/有機溶媒を用いたテストで使用した全ての条件は、初期およびプラズマ露出した低誘電率(low-κ)材料と適合することが示された。κ値の著しい変化は、水銀プローブ法によって測定されなかった(Δκ<0.1)。また、膜厚(偏光解析法による)および構造または組成(FTIRによる)において、著しい変化は観測されなかった。
有機溶媒のみを用い(PC、10分間)、オゾン添加なしでUV前処理なしの処理は、硬化したフォトレジストを除去しない。
他の特定のテストにおいて、単一ダマシン構造を持つパターン形成したサンプルは、UV前処理を受けて、続いて、オゾン処理したプロピレンカーボネートを用いた短い湿式処理(30ppmのO、1分間)、またはプロピレンカーボネートを用いた長い湿式処理(10分間)を受けた。UV前処理は、2波長光源(184.9nmと253.7nm)を用いてN雰囲気で2分間行った。両方の場合、パターン形成した構造の上部にある硬化フォトレジストは除去されたが、残留物が溝内に残った。
さらに他の特定のテストでは、単一ダマシン構造を持つパターン形成したサンプルは、UV前処理を受けて、続いて、オゾン処理した(30ppmのO)プロピレンカーボネートを用いて、それぞれ5分間と10分間の湿式処理を受けた。UV前処理は、2波長光源(184.9nmと253.7nm)を用いてN雰囲気で2分間行った。両方の場合、硬化フォトレジストは、パターン形成した構造の上部および溝から完全に除去された。UVを用いてサンプルを前処理することによって、UV前処理なしの処理(O中で200ppmのO、90℃)と比べて、穏やかな条件(O中で30ppmのO、60℃)の下で、パターン形成したPRを完全に除去できた。
図9は、プラズマ処理(即ち、硬化した)フォトレジスト膜についてのUV処理時間の関数として、(OH + C=C)/OH比の変化を要約している。172nmと比べて、222nmと283nmの2波長で照射したPR膜は、C=C結合の発生においてより効率的である。60秒間のUV照射では、C=C結合の最高濃度は、222nmと283nmで到達した。UV照射時間>60秒では、C=C結合形成において連続した増加が2波長UVランプで観測され、172nmでは減少した形成レートであり、λ=222nmと283nmでは減少が観測される。
第3の例では、サンプル(ブランケットおよびパターン形成の両方)を、単一帯域低圧水銀ランプ(λ=253.7nm)からのUVに、異なる時間でAr下で露光するとももに、フォトレジスト除去を浸漬モードのO/HOを用いて実行した。サンプルは、水を通じてO/O泡立てで1分間または2分間、60℃の水に浸漬した。オゾン処理したDI水の処理を、温度調節された容器および、2.0L/分のOフローで、30または200ppmのOガス供給の発生器と接続された拡散器からなる手製の実験室スケールの反応装置内で行った。サンプルは、RT(室温)での水リンス処理の前に、水またはPCの中で1分間、60℃のリンス処理を行った。
図10は、異なる剥離処理の後、低誘電率(low-κ)材料(κ=2.5)(単一ダマシン構造)の上部にあるパターン化(またはエッチングした)TiN金属ハードマスク(MHM)を示す。図13の画像(A)は、プラズマエッチング後の構造を示し、パターン化したTiNのMHMがBARCおよびフォトレジストで覆われている。図10の画像(B)と画像(C)は、それぞれ2分間のUV露光と1分間のPCリンス処理の後の同じ構造を示す。際立った除去がUV露光だけからは得られず、部分的除去だけがリンス処理だけから得られている。除去での改善は、UV照射および有機溶媒の組合せからほとんど得られていない(画像D)。図10の画像(E)は、60℃で2分間のO/HO剥離、および1分間のプロピレンカーボネート(PC)リンス処理、続いてDIWリンス処理後の同じ構造を示す。O/HO処理は、前の段落で説明したように、浸漬モードで行った。この処理手順を用いても、完全なPR/BARC除去は達成しなかった。最後に、図10の画像(F)は、2分間のUV露光、続いて60℃で2分間のO/HO剥離、そして1分間のプロピレンカーボネート(PC)リンス処理、続いてDIWリンス処理後の同じ構造を示す。UV露光およびO/HO剥離を組み合わせたこの処理手順を用いた場合、完全なPR/BARC除去を達成した。
254nmを中心した波長帯域を持つフォトンでのUV照射は、図11に示すように、ブランケットのプラズマ処理したフォトレジスト膜のFTIRスペクトルにおける実質的な変化をもたらした。ラクトン基(1765cm−1)およびエステル基(1725cm−1)に帰着するC=O吸収帯域は、UV時間の関数で強度が増加した。これは、他の波長(222nmと283nm)と比べて極めて相違した。アルカン信号(2915cm−1)に帰着する帯域は、UV時間の関数で強度が減少した。1640cm−1に存在し、C=C結合および−OH結合に帰着する吸収帯域の強度は、UV処理時間について僅かに増加したが、−OHに帰着する吸収帯域(3100〜3600cm−1)は変化しないままであり、C=C結合の形成を示している。
254nmでのUV照射(2分間と5分間)、続いて60℃で2分間のO/HO剥離(Oガス供給で30ppmと200ppmのO)、続いて60℃で1分間のPCリンス処理からなるテストした全ての処理手順について、κ値の著しい変化は、水銀プローブ法によって測定されなかった(Δκ<0.1)。2帯域水銀ランプ(λ=185nmとλ=254nm)を用いた例1と例2と比べて、185nmの高エネルギー帯域がランプ発光スペクトルからフィルタ除去されているため、これらのテストは、低誘電率(low-κ)材料とのUV適合性を示している。
第4の例では、パターン形成したサンプルは、単一帯域低圧水銀ランプ(λ=254nm)からのUVに、Ar下で異なる時間で露光するとともに、浸漬モードでO/フッ素化溶媒(メトキシ−ノナフルオロブタン、HFE 7100DL(登録商標)、3M社)を用いてフォトレジスト除去を実行した。サンプルは、室温(約20℃)で2分間または5分間、溶媒を通じたO/O泡立てとともにHFE中に浸漬した。オゾン処理したHFE処理は、温度調節された容器および、2.0L/分のOフローで、30または200ppmのOガス供給の発生器と接続された拡散器からなる手製の実験室スケールの反応装置内で行った。サンプルは、RT(室温)での水リンス処理の前に、水またはPCの中で1分間、60℃のリンス処理を行った。
前回の例と同様に、PR除去効率は、走査電子顕微鏡(SEM)によって評価した。水リンスだけを用いた条件では、部分的なPR除去だけが得られた(PR厚さで約30%減少)。PCリンスを用いた次の条件、即ち、2分間のUVステップに続いて30ppmまたは200ppmのOで2分間のO/HFE、あるいは2分間のUVステップに続いて200ppmのOで5分間のO/HFE、あるいは5分間のUVステップに続いて30ppmのOで2分間のO/HFEで、ほぼ完全なPR除去が得られた(少しの残留物がTiN MHMパターンの上部およびその間に残った)。PCリンスを用いた次の処理手順、即ち、5分間のUVステップに続いて200ppmのOで2分間または5分間のO/HFE、あるいは10分間のUVステップを用いた全ての条件で、完全なPR除去を達成した。多くの条件では、最高O濃度の使用、または2〜5分間のO/HFEステップ時間の延長からの著しい改善がなく、最低のO露出条件は、PR外皮中の結合を効率的に破壊するのに充分であることを示した。
254nmでのUV照射(2分間と5分間)、続いて室温で2分間のO/HFE剥離(Oガス供給で30ppmと200ppmのO)、続いて60℃で1分間のPCリンス処理からなるテストした全ての処理手順について、κ値の著しい変化は、水銀プローブ法によって測定されなかった(Δκ<0.1)。
説明した実施形態は例に過ぎず、本発明の範囲を限定するものとして利用すべきでないと理解すべきである。請求項は、その効果に言及しない限り、説明した順序または要素に限定されるものと読むべきでない。従って、下記請求項およびこれと等価の範囲および精神の範囲内にある全ての実施形態は、本発明として権利要求される。

Claims (15)

  1. 硬化したフォトレジストを、低誘電率材料を含む基板から除去し、低誘電率材料の特性を保存する方法であって、
    a)硬化したフォトレジストおよび少なくとも部分的に露出した低誘電率材料を含む基板を用意するステップと、
    b)硬化したフォトレジストを、真空または不活性雰囲気で、200nm〜300nmの波長を有するUV放射で露光することによって、硬化したフォトレジスト中にC=C二重結合を形成するステップと、
    c)硬化したフォトレジストを、オゾン(O)またはオゾン(O)と酸素(O)の混合物と反応させることによって、ステップb)で形成されたC=C二重結合を破壊して、硬化したフォトレジストを断片化するステップと、
    d)クリーニング化学薬品を用いた湿式処理によって、ステップc)で得られた断片化したフォトレジストを除去するステップと、を含む方法。
  2. 形成されたC=C二重結合を破壊するステップ、および断片化したフォトレジストを除去するステップは、オゾンを含むクリーニング化学薬品での湿式処理によって実質的に同時に行われる請求項1記載の方法。
  3. UV放射は、260nmより高い波長を有する請求項1または2記載の方法。
  4. 硬化したフォトレジスト中にC=C二重結合を形成するステップ、および形成されたC=C二重結合を破壊するステップは、酸素(O)、オゾン(O)またはOとOの混合物を供給するとともに、硬化したフォトレジストをUV放射に露出することによって、実質的に同時に行われる請求項3記載の方法。
  5. 不活性雰囲気は、N、希ガス、またはこれらの混合を含む請求項1〜3のいずれかに記載の方法。
  6. 硬化したフォトレジスト層を、低誘電率材料を含む基板から除去し、低誘電率材料の特性を保存する方法であって、
    ・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率材料を含む基板を用意するステップと、
    ・硬化したフォトレジストを、真空または不活性雰囲気で、200nm〜300nmの波長を有するUV放射で前処理するステップと、
    ・オゾンを含むクリーニング化学薬品中の湿式処理によって、前処理した硬化フォトレジストを除去するステップと、含む方法。
  7. 硬化したフォトレジスト層を、低誘電率材料を含む基板から除去し、低誘電率材料の特性を保存する方法であって、
    ・硬化したフォトレジスト層および少なくとも部分的に露出した低誘電率材料を含む基板を用意するステップと、
    ・硬化したフォトレジストを、O、オゾン(O)またはOとOの混合物の存在下で、260nm〜300nmの波長を有するUV放射で前処理するステップと、
    ・クリーニング化学薬品中の湿式処理によって、前処理した硬化フォトレジストを除去するステップと、を含む方法。
  8. クリーニング化学薬品は、水溶液からなる請求項1〜7のいずれかに記載の方法。
  9. 水溶液は、脱イオン(DI)水からなる請求項8記載の方法。
  10. クリーニング化学薬品は、有機溶媒またはこれらの混合物からなる請求項1〜7のいずれかに記載の方法。
  11. 有機溶媒は、ハロゲン化溶媒、プロピレンカーボネート(PC)およびこれらの混合物からなるグループから選択される請求項10記載の方法。
  12. クリーニング化学薬品は、例えば、界面活性剤、腐食防止剤またはキレート剤などの化学添加剤をさらに含む請求項1〜11のいずれかに記載の方法。
  13. 断片化したフォトレジストを除去した後、脱イオン(DI)水または有機溶媒を用いた追加のリンス処理を行うことを含む請求項1〜12のいずれかに記載の方法。
  14. 電子デバイスの製造のための請求項1〜13のいずれかに記載の方法の使用。
  15. 硬化したフォトレジスト層は、複数層の積層を備え、前記複数層は、反射防止コーティング層(ARC)、好ましくは、上部反射防止コーティング層(TARC)または下部反射防止コーティング層(BARC)、より好ましくは、下部反射防止コーティング層を含む請求項1〜14のいずれかに記載の方法。
JP2009216790A 2008-09-19 2009-09-18 硬化フォトレジストを半導体基板から除去する方法 Active JP5329355B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9847408P 2008-09-19 2008-09-19
US61/098,474 2008-09-19

Publications (2)

Publication Number Publication Date
JP2010074168A true JP2010074168A (ja) 2010-04-02
JP5329355B2 JP5329355B2 (ja) 2013-10-30

Family

ID=41259804

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009216790A Active JP5329355B2 (ja) 2008-09-19 2009-09-18 硬化フォトレジストを半導体基板から除去する方法

Country Status (3)

Country Link
US (1) US8277564B2 (ja)
EP (1) EP2166564B1 (ja)
JP (1) JP5329355B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018056274A (ja) * 2016-09-28 2018-04-05 株式会社Screenホールディングス 基板処理方法及び基板処理装置
JP2019062219A (ja) * 2013-09-04 2019-04-18 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2166564B1 (en) * 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8449681B2 (en) 2010-12-16 2013-05-28 Intermolecular, Inc. Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US8734662B2 (en) * 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
US9966280B2 (en) * 2012-10-05 2018-05-08 Tokyo Electron Limited Process gas generation for cleaning of substrates
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
US9093376B2 (en) 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET
US9805946B2 (en) * 2013-08-30 2017-10-31 Taiwan Semiconductor Manufacturing Company Limited Photoresist removal
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
CN104779136A (zh) * 2014-01-10 2015-07-15 上海和辉光电有限公司 一种去除光致抗蚀剂的方法和设备
CN103996617A (zh) * 2014-06-09 2014-08-20 上海华力微电子有限公司 离子注入工艺后的光刻胶层的去除方法
US9583380B2 (en) * 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
KR102614850B1 (ko) 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
KR102121237B1 (ko) * 2018-12-06 2020-06-10 세메스 주식회사 기판 처리 장치 및 방법

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5126929A (ja) * 1974-06-28 1976-03-05 Rhone Poulenc Ind
JPH04211114A (ja) * 1990-03-05 1992-08-03 Nec Corp フォトレジスト除去装置
JPH05109674A (ja) * 1991-10-18 1993-04-30 Ushio Inc レジスト膜の灰化方法と灰化装置
JPH06333924A (ja) * 1993-05-20 1994-12-02 Fujitsu Ltd 半導体装置の製造方法
JP2001196348A (ja) * 2000-01-12 2001-07-19 Seiko Epson Corp 有機物の分解方法、および半導体素子の製造方法
JP2001223206A (ja) * 1999-12-03 2001-08-17 Mitsubishi Electric Corp 基板処理方法および装置
JP2003282518A (ja) * 2002-03-25 2003-10-03 Pyuarekkusu:Kk 有機被膜の除去方法および除去剤
JP2003337432A (ja) * 2002-05-20 2003-11-28 Tsukuba Semi Technology:Kk 機能水を使ったレジスト除去方法、およびその装置
JP2004029696A (ja) * 2002-04-26 2004-01-29 Tokyo Ohka Kogyo Co Ltd ホトレジスト剥離方法
JP2004517475A (ja) * 2000-12-22 2004-06-10 アクセリス テクノロジーズ インコーポレーテッド イオン注入後にフォトレジストを除去するための処理方法
JP2005072308A (ja) * 2003-08-26 2005-03-17 Sony Corp レジストの除去方法および半導体装置の製造方法
US20050245082A1 (en) * 2004-04-28 2005-11-03 Taiwan Semiconductor Manufacturing Co. Process for removing organic materials during formation of a metal interconnect
JP2006261676A (ja) * 2006-03-17 2006-09-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2008091534A (ja) * 2006-09-29 2008-04-17 Tokyo Electron Ltd 基板処理方法、洗浄方法、基板処理装置及び記憶媒体

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63260028A (ja) * 1986-11-19 1988-10-27 Tokyo Ohka Kogyo Co Ltd ホトレジストの熱安定化装置
US6127279A (en) * 1994-09-26 2000-10-03 Semiconductor Energy Laboratory Co., Ltd. Solution applying method
TW459165B (en) * 1999-10-22 2001-10-11 Mosel Vitelic Inc Method for the rework of photoresist
JP4683685B2 (ja) * 2000-01-17 2011-05-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法、フラッシュメモリの製造方法、およびスタティックランダムアクセスメモリの製造方法
US7160671B2 (en) * 2001-06-27 2007-01-09 Lam Research Corporation Method for argon plasma induced ultraviolet light curing step for increasing silicon-containing photoresist selectivity
JP4038557B2 (ja) * 2002-04-16 2008-01-30 リアライズ・アドバンストテクノロジ株式会社 レジスト除去装置及びレジスト除去方法
KR100610452B1 (ko) * 2003-04-08 2006-08-09 주식회사 하이닉스반도체 포토레지스트 폴리머 제거용 세정제 조성물
AT501775B1 (de) * 2003-12-18 2009-01-15 Tokyo Electron Ltd Verfahren zum entfernen eines resistfilms, substrat-behandlungsvorrichtung und computer-lesbares aufzeichnungsmedium
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US20070012335A1 (en) * 2005-07-18 2007-01-18 Chang Hsiao C Photomask cleaning using vacuum ultraviolet (VUV) light cleaning
KR100599056B1 (ko) * 2005-07-21 2006-07-12 삼성전자주식회사 포토레지스트 제거 장치 및 방법
US20070178404A1 (en) * 2006-01-30 2007-08-02 International Business Machines Corporation Methods of preventing defects in antireflective coatings
US7402213B2 (en) * 2006-02-03 2008-07-22 Applied Materials, Inc. Stripping and removal of organic-containing materials from electronic device substrate surfaces
US20070254476A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning porous low-k material in the formation of an interconnect structure
EP2166564B1 (en) * 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5126929A (ja) * 1974-06-28 1976-03-05 Rhone Poulenc Ind
JPH04211114A (ja) * 1990-03-05 1992-08-03 Nec Corp フォトレジスト除去装置
JPH05109674A (ja) * 1991-10-18 1993-04-30 Ushio Inc レジスト膜の灰化方法と灰化装置
JPH06333924A (ja) * 1993-05-20 1994-12-02 Fujitsu Ltd 半導体装置の製造方法
JP2001223206A (ja) * 1999-12-03 2001-08-17 Mitsubishi Electric Corp 基板処理方法および装置
JP2001196348A (ja) * 2000-01-12 2001-07-19 Seiko Epson Corp 有機物の分解方法、および半導体素子の製造方法
JP2004517475A (ja) * 2000-12-22 2004-06-10 アクセリス テクノロジーズ インコーポレーテッド イオン注入後にフォトレジストを除去するための処理方法
JP2003282518A (ja) * 2002-03-25 2003-10-03 Pyuarekkusu:Kk 有機被膜の除去方法および除去剤
JP2004029696A (ja) * 2002-04-26 2004-01-29 Tokyo Ohka Kogyo Co Ltd ホトレジスト剥離方法
JP2003337432A (ja) * 2002-05-20 2003-11-28 Tsukuba Semi Technology:Kk 機能水を使ったレジスト除去方法、およびその装置
JP2005072308A (ja) * 2003-08-26 2005-03-17 Sony Corp レジストの除去方法および半導体装置の製造方法
US20050245082A1 (en) * 2004-04-28 2005-11-03 Taiwan Semiconductor Manufacturing Co. Process for removing organic materials during formation of a metal interconnect
JP2006261676A (ja) * 2006-03-17 2006-09-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2008091534A (ja) * 2006-09-29 2008-04-17 Tokyo Electron Ltd 基板処理方法、洗浄方法、基板処理装置及び記憶媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019062219A (ja) * 2013-09-04 2019-04-18 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
JP2018056274A (ja) * 2016-09-28 2018-04-05 株式会社Screenホールディングス 基板処理方法及び基板処理装置
WO2018061860A1 (ja) * 2016-09-28 2018-04-05 株式会社Screenホールディングス 基板処理方法及び基板処理装置

Also Published As

Publication number Publication date
EP2166564A2 (en) 2010-03-24
EP2166564B1 (en) 2017-04-12
US20100071718A1 (en) 2010-03-25
EP2166564A3 (en) 2011-11-02
JP5329355B2 (ja) 2013-10-30
US8277564B2 (en) 2012-10-02

Similar Documents

Publication Publication Date Title
JP5329355B2 (ja) 硬化フォトレジストを半導体基板から除去する方法
TWI667708B (zh) 蝕刻後聚合物及硬遮罩移除之加強型移除用方法及硬體
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US6524936B2 (en) Process for removal of photoresist after post ion implantation
US8129281B1 (en) Plasma based photoresist removal system for cleaning post ash residue
KR20060064621A (ko) 플라즈마 애싱 프로세스
JP6093446B2 (ja) 基板を清浄化するためのプロセスガスの生成
KR100505693B1 (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
JP4359847B2 (ja) 低k誘電体フィルムのための乾燥処理
KR100969027B1 (ko) 세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법
JP5236915B2 (ja) レジスト層を基板から除去する方法
TW200524030A (en) Post-etch clean process for porous low dielectric constant materials
CN115820351A (zh) 半导体晶圆基底清洗液组合物及其使用方法
JP4320982B2 (ja) 基材処理装置
Kesters et al. Removal of post-etch 193 nm photoresist in porous low-k dielectric patterning using UV irradiation and ozonated water
US8017568B2 (en) Cleaning residues from semiconductor structures
CN1960813A (zh) 在制备集成电路产品过程中用于干燥构图晶片的组合物和方法
Claes et al. All-wet strip approaches for post-etch photoresist layers after low-k patterning
JP2004157424A (ja) レジストの剥離方法及び半導体装置の製造方法
JP2011014696A (ja) 有機質物除去方法
US6423646B1 (en) Method for removing etch-induced polymer film and damaged silicon layer from a silicon surface
Le et al. Modification of photoresist by UV for post-etch wet strip applications
Kesters et al. Towards Fully Aqueous Ozone Wet Strip of 193 nm Photoresist Stack Using UV Pre-Treatments in Low-k Patterning Applications
Le et al. Wet Clean Applications in Porous Low‐k Patterning Processes
Kesters et al. Influence of UV irradiation on the removal of post-etch photoresist in porous low-k dielectric patterning

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120403

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130417

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130702

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130724

R150 Certificate of patent or registration of utility model

Ref document number: 5329355

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250