JP2009532861A - ドレイン及びソース領域にリセスを設けることでチャネル領域に極めて近接するトランジスタにストレスソース与える技術 - Google Patents

ドレイン及びソース領域にリセスを設けることでチャネル領域に極めて近接するトランジスタにストレスソース与える技術 Download PDF

Info

Publication number
JP2009532861A
JP2009532861A JP2009502792A JP2009502792A JP2009532861A JP 2009532861 A JP2009532861 A JP 2009532861A JP 2009502792 A JP2009502792 A JP 2009502792A JP 2009502792 A JP2009502792 A JP 2009502792A JP 2009532861 A JP2009532861 A JP 2009532861A
Authority
JP
Japan
Prior art keywords
drain
layer
recess
transistor
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009502792A
Other languages
English (en)
Other versions
JP5576655B2 (ja
Inventor
ウェイ アンディ
カムラー トルシュテン
ホンツェル ヤン
ホルストマン マンフレッド
ヤボルカ ピーター
ブルームクイスト ジョー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority claimed from PCT/US2007/004689 external-priority patent/WO2007126495A1/en
Publication of JP2009532861A publication Critical patent/JP2009532861A/ja
Application granted granted Critical
Publication of JP5576655B2 publication Critical patent/JP5576655B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

電界効果トランジスタ(100、200)の隣接するチャネル領域(104、204)中の歪みの生成が向上するように、ドレインならびにソース領域(114、214)にリセス(112D、212D)を設けることによって、コンタクトエッチストップ層などの高応力層(118、218)がリセス(112、212)に形成される。さらに、金属シリサイド(217)の望ましくない緩和効果を低減するか回避することによって、歪みのある半導体材料(230)がチャネル領域(104、204)に非常に近接して設けられることから、歪みを生成する実効性もまた向上する。ある態様では、さらに実効的な歪み生成メカニズムを得るように、両方の効果を組み合わせてもよい。

Description

概して、本発明は集積回路の形成に関し、より詳細には、MOSトランジスタのチャネル領域の電荷キャリア移動度が向上するように、埋め込まれた歪み層、応力のかけられたオーバーレイヤなどのストレスソースを用いることで、歪みのあるチャネル領域を有するトランジスタを形成する技術分野に関する。
集積回路を製造するには、特定の回路レイアウトに応じて所与のチップエリア上に多数の回路素子を形成する必要がある。一般に、複数のプロセス技術が現在実施されており、マイクロプロセッサ、記憶チップなどの複合回路の場合、動作速度および/あるいは電力消費量および/あるいは費用効率の点で優れた特性を備えるという理由から、CMOS技術が現在最も有望なアプローチとされる。
CMOS技術を用いた複合集積回路の製造において、数百万ものトランジスタ、すなわち、nチャネルトランジスタとpチャネルトランジスタが、結晶半導体層を含む基板に形成される。MOSトランジスタは、nチャネルトランジスタであるかpチャネルトランジスタであるかに拘わらず、いわゆるpn接合を備え、このpn接合は、逆ドープされたチャネル領域がドレイン領域とソース領域との間に配置された高濃度ドープドレインならびにソース領域の境界に形成される。
チャネル領域の導電性、すなわち、導電性チャネルの駆動電流の容量は、チャネル領域の近傍に形成され、薄い絶縁層によってチャネル領域から分離されるゲート電極によって制御される。チャネル領域の導電性は、導電チャネルが形成されると、適切な制御電圧をゲート電極に印加することにより、ドーパントの濃度、多数電荷キャリアの移動度、およびトランジスタの幅方向におけるチャネル領域の所与の拡張に対して、チャネル長さとも呼ばれるソースおよびドレイン領域間の距離に左右される。従って、制御電圧をゲート電極に印加すると、絶縁層の下方に導電性チャネルを迅速に作り出す能力との組み合わせにより、チャネル領域の全体の導電性によって、MOSトランジスタの特性が実質的に決定される。従って、チャネル長さを縮小し、これによりチャネルの抵抗率が下がることで、チャネル長さが集積回路の動作速度を上げるための主要なデザイン基準となる。
しかしながら、トランジスタの寸法を縮小すると、それに関連した複数の問題が生じてしまい、MOSトランジスタのチャネル長さを着実に短くすることによって得られた利点をあまり損なわないようにこれらの問題に取り組む必要がある。これに関する主要な課題の1つとして、新たなデバイス世代に対して、トランジスタのゲート電極などの極限寸法の回路素子を確実に再現して生成することができる、強化されたフォトリソグラフィおよびエッチストラテジーを構築することが挙げられる。さらに、所望のチャネル制御性と組み合わせてシート抵抗と接触抵抗とを低くするために、ドレイン領域およびソース領域において、横方向に加えて垂直方向にも非常に高度なドーパントプロファイルが要求される。
極限寸法のサイズ、すなわち、トランジスタのゲート長、が縮小し続けていることから、上述のプロセスステップに関して非常に複雑なプロセス技術を適用し、場合によっては新たに構築する必要があるので、所与のチャネル長に対するチャネル領域の電荷キャリア移動度を増加することでトランジスタ素子のチャネルの導電性を強化することも提案されている。これにより、デバイスのスケーリングに関連付けられる多くの上記プロセスを適用しないで、あるいは少なくとも適用を延期しつつ、将来の技術ノードに対する進歩との互換性があるパフォーマンスの向上させる可能性が与えられる。電荷キャリア移動度を増加する1つの実効的メカニズムとして、例えば、チャネル領域の近傍に引張あるいは圧縮応力を生成して、チャネル領域に対応の歪みを生成することによって、チャネル領域中の格子構造を変えることが挙げられる。これにより、電子ならびに正孔に対する移動度がそれぞれ変化する。例えば、チャネル領域に引張歪みを生成することで電子の移動度が増加し、これに対応して導電性が増加し得る。
他方では、チャネル領域の圧縮歪みにより正孔移動度が増加し、これにより、p型トランジスタのパフォーマンスを強化することができる。集積回路の製造に応力や歪み技術を導入することは、将来のデバイス世代にとって非常に有望なアプローチである。その理由は、例えば、歪みのあるシリコンは「新たな」種類の半導体材料として考えられ、このシリコンにより、高額な半導体材料を使わずに高速でパワフルな半導体デバイスの製造が可能となる一方で、十分に確立された多くの製造技術を依然として使用することができるからである。この結果、例えばシリコン/ゲルマニウム層あるいはシリコン/カーボン層をチャネル領域に、あるいはその下に導入し、対応の歪みをもたらす引張応力や圧縮応力を生成することが提案されている。
チャネル領域に、あるいはその下に応力生成層を導入することで、トランジスタのパフォーマンスを著しく向上することができるが、対応の応力層の形成において、従来の充分に確立されたMOS技術を実行するには大きな努力が必要である。例えば、チャネル領域に、あるいはその下の適所にゲルマニウムやカーボンを含む応力層を形成するには、さらなるエピタキシャル成長技術を構築しプロセスフローに実装する必要がある。よって、プロセスが非常に複雑になるので、製造コストが増え、さらに製造歩留まりが減少するおそれがある。従って、他のアプローチでは、チャネル領域に所望の応力を生成するために、例えば、オーバーレイ層、スペーサ素子などによって生成される外部応力が用いられる。
しかし、特定の外部応力を加えることでチャネル領域に歪みを生成するプロセスでは、外部応力をチャネル領域中の歪みに実効的に変換することができない。したがって、チャネル領域内に付加的な応力層を必要とする上述のアプローチには著しい利点が得られているものの、応力伝達メカニズムの実効性はプロセスならびにデバイスの仕様に左右され、さらに、この実効性に起因して、十分に確立された標準のトランジスタ設計のパフォーマンスゲインが低下することがある。その理由は、オーバーレイ層がチャネル領域から実質的にずれるのでチャネル領域に最終的に生成される歪みが減るからである。
別のアプローチでは、PMOSトランジスタの正孔移動度は、埋め込み式の歪みシリコン/ゲルマニウム層をトランジスタのドレインならびにソース領域に形成することで向上させることができる。ここでは、圧縮歪みのかけられたドレインならびにソース領域は、隣接するシリコンチャネル領域に一軸性の歪みを生成する。このために、PMOSトランジスタのドレインならびにソース領域には選択的にリセスが設けられる一方で、NMOSトランジスタはマスキングされ、その後、シリコン/ゲルマニウム層がエピタキシャル成長によりPMOSトランジスタに選択的に形成される。
典型的には、接触抵抗を低減するためにドレインならびにソース領域に金属シリサイドを形成するためのシリサイデーションプロセスにおいて「貴重な」歪みシリコン/ゲルマニウム材料の消費を抑えるために、エピタキシャル成長プロセスにおいて、ある程度「余分」に歪みシリコン/ゲルマニウムが埋め込まれる。しかし、このようにドレインならびにソース領域が隆起すると、いずれのオーバーレイ層(埋め込み式の歪み層とともに、そのようなオーバーレイ層が設けられていれば)の応力伝達効率が低下するおそれがある。上述の状況を鑑みて、応力伝達メカニズムによりMOSトランジスタのパフォーマンスを実効的に向上させる一方で、上述した問題点の1つ以上を回避するか少なくとも減らすことができる改善された技術が求められている。
以下、本発明のいくつかの態様を基本的に理解するために、本発明の概要を説明する。この概要は、本発明の全体像を詳細に説明するものではない。本発明の主要な、または重要な要素を特定しようとするものでも、本発明の範囲を説明しようとするものでもない。ここでの目的は、本発明のいくつかのコンセプトを簡単な形で提供して、後続のより詳細な説明に対する前置きとすることである。概して、本発明は、1以上のストレスソースからチャネル領域にさらに実効的に応力を伝達することでチャネル領域中の応力を著しく増加させることによりトランジスタのパフォーマンスを向上させる技術に関する。このために、応力絶縁層は、応力伝達が著しく向上するようにチャネル領域にさらに近接して位置決めされる。
本発明のある例示的実施形態では、例えば、オーバーレイ誘電応力層に基づいて得られる応力伝達メカニズムは、それぞれのドレインならびにソース領域にリセスを設け、ゲート絶縁層よりも低い深さで応力誘電層を形成するようにすることで実質的に増加し、これにより、応力誘電層は、それぞれの応力をより直接的にチャネル領域に伝達することができるので、応力伝達が実質的に向上する。ある実施形態では、リセスを設けたドレインならびにソース領域は、チャネル領域にもたらされる歪みをさらに強化するように、歪みのある半導体材料をさらに含む。
本発明の別の例示的実施形態では、半導体デバイスは、第1チャネル領域上方に形成される第1ゲート電極と、第1ゲート電極と第1チャネル領域との間に形成される第1ゲート絶縁層とを含む第1導電型の第1トランジスタを含む。さらに、この第1トランジスタは、第1チャネル領域に近接して形成され、第1ゲート絶縁層に対してリセスを設けた第1ドレインならびにソース領域を含む。最後に、この第1トランジスタは、第1ドレインならびにソース領域の上方に形成され、リセスを設けた第1ドレインならびにソース領域によって形成されるリセスにまで延びる第1応力層を含む。
本発明のさらに別の例示的実施形態によれば、半導体デバイスは、基板の上方に形成される埋め込み絶縁層とこの埋め込み絶縁層上に形成される半導体層とを含む。半導体デバイスは、半導体層の上方に形成され、ゲート絶縁層によって分離されるゲート電極をさらに含む。半導体層中には、ゲート絶縁層上方に延びる歪みのある半導体材料が形成される。この歪みのある半導体材料内にはドレインならびにソース領域が部分的に形成され、さらに、ゲート電極のサイドウォールと歪みのある半導体材料の上方にはサイドウォールスペーサが形成される。最後に、半導体デバイスは、サイドウォールスペーサに隣接するドレインならびにソース領域に形成される金属シリサイド領域を含む。
本発明のさらに別の例示的実施形態では、方法は、半導体層において、第1の幅を有する第1サイドウォールスペーサを含むゲート電極構造に隣接してリセスを形成するステップを含む。さらに、歪み半導体材料がリセスに形成され、ドレインならびにソース領域は、第1の幅よりも広い第2の幅を有する第2サイドウォールスペーサに基づいて、少なくとも歪みのある半導体材料中に形成される。本発明のさらなる例示的実施形態によると、方法は、第1電界効果トランジスタのゲート電極に近接して第1のリセスを形成するステップを含み、ゲート電極は半導体層の上方に設けられており、そのサイドウォール上にはサイドウォールスペーサが形成されている。
さらに、上記方法において、サイドウォールスペーサに隣接してドレインならびにソース領域が形成される。最後に、上記方法において、第1電界効果トランジスタ上方に第1誘電応力層が形成され、この第1誘電応力層は、ゲート電極と半導体層との間に設けられるゲート絶縁層の下方に延びるようにリセスに設けられる。
本発明は添付の図面とともに以下の記載を参照することで理解することができる。図面において、同じ参照符号は同様の要素を示す。本発明は、様々な改良を行い、また、他の形態で実施することができるが、ここに説明されている特定の実施例は、例示として示されたものであり、以下にその詳細を記載する。しかし当然のことながら、ここに示した特定の実施例は、本発明を開示されている特定の形態に限定するものではなく、むしろ本発明は添付の請求項によって規定されている発明の範疇に属する全ての改良、等価物、及び変形例をカバーするものである。本発明の実施例を以下に記載する。簡素化のため、現実の実施品におけるすべての特徴を本明細書に記載することはしていない。当然のことながら、そのような現実の実施品の開発においては、開発者における特定の目標を達成するため、システム的制限やビジネス的制限との摺り合せなど、多くの特定の実施の決定がなされる。それらは各実施形態によって様々に変化するものである。更に、そのような開発努力は複雑で時間を消費するものであるのは当然のことであるが、それでもなお、この開示の恩恵を有する当業者にとっては通常作業の範疇に入るものである。
以下、本発明を添付の図面を参照しながら記載する。図面には、様々な構造、システム、デバイスが単なる説明目的で、また、当業者にとっては周知の詳細で本発明を不明瞭にしないように概略的に示されている。しかしながら、添付の図面は本発明の実施例を説明・解説する目的で添付されているものである。本明細書で使用される用語や言い回しは関連技術において当業者たちによって理解される単語や言い回しと一貫した意味を持つものと理解、解釈される。本明細書において用語あるいは言い回しを一貫して使用していても、これらの用語や言い回しのいかなる特定の定義、すなわち、当業者により理解される通常の意味及び慣習的な意味からは異なる定義を意味するものではない。用語や言い回しを、特定の意味を有する範囲において用いる場合、つまり当業者により理解されているのとは異なる意味で用いる場合、本明細書においては、直接かつ明確にそのような言葉や言い回しの特定の定義を行う。
概して、本発明は、コンタクトエッチストップ層などのオーバーレイ材料層、および/または、それぞれのトランジスタのドレインならびにソース領域に形成される歪みのある半導体材料の応力伝達効率を増加することにより、それぞれのトランジスタのチャネル領域への応力伝達を向上する技術に関する。例えば、前者の態様に関して、つまり、コンタクトエッチストップ層などの応力のかけられたオーバーレイ層を用いて応力伝達メカニズムを向上することに関して、従来のアプローチ法とは違ったトランジスタアーキテクチャを用いることによって、応力伝達が著しく向上するということが本発明により検討される。例えば、典型的には、ドレインならびにソース領域がチャネル領域と同一平面上にある標準トランジスタ構造においては、つまり、ゲート絶縁層と下方の結晶性半導体領域との間の境界では、チャネル領域中への応力伝達と、したがって、歪み生成とは、コンタクトエッチストップ層によって実現される。該層は、典型的には、高引張応力や圧縮応力を伴ってトランジスタの上方に形成される。ここでは、それぞれの応力は、ゲート電極のサイドウォールスペーサを通じてチャネル領域に伝達される。
他の従来のアプローチ法では、隆起したドレインならびにソース領域が設けられることが多く、例えば、金属シリサイドの深度を高めてドレインならびにソース抵抗を低減するように、または、チャネル領域に実質的に一致する高さで金属シリサイドを形成する間に歪み半導体材料の消費を抑えるように余分に供給されるシリコン/ゲルマニウムなどの歪み半導体材料を収容するようにする。このようなアプローチ法では、オーバーレイコンタクトエッチストップ層により生成される応力は、ドレインならびにソース領域が隆起していることからスペーサの上部を介して伝達される。この結果、応力が作用するには材料を増加させなければならないので、チャネル領域に最終的に得られる歪みが著しく低減する。
本発明の一態様では、コンタクトエッチストップ層などのオーバーレイ応力層により生成されるチャネル領域への応力伝達は、ドレインならびにソース領域にリセスを設けることで著しく向上する。その理由は、この場合、実質的に同じ平面上の、隆起したドレインならびにソースアーキテクチャのメカニズムは依然として有効である一方で、さらに、非常に「直接的」な応力の構成要素を得ることができる。その理由は、ゲート絶縁層に満たない高さで設けられているオーバーレイ応力層の構成要素は、チャネル領域上で横方向に「押す」ために、近隣のチャネル領域に実効的に歪みを生成するからである。
さらに、本発明のある実施形態では、ドレインならびにソース領域中の歪みのある半導体材料によって得られる歪みは、歪みのある材料をチャネル領域にさらに近接して位置決めすることで、その伝達効率を向上させることができ、ある実施形態では、この技術は、リセスを設けた応力のかけられたオーバーレイヤを用いた上述の技術と組み合わせることができる。このことは、「ディスポーザブル」スペーサによって実現される。これは、第1スペーサはチャネル領域に近接するキャビティを画定するために用いられ、続いて、これらのスペーサを除去後に、現実のデバイススペーサが幅を広くして形成される。したがって、デバイススペーサに基づいて歪みのある半導体材料に金属シリサイドを形成後に、歪みのある半導体材料の一部は、金属シリサイドとチャネル領域との間に横方向に残る。したがって、このディスポーザブルスペーサ法は、リセスを設けた応力のかけられたオーバーレイヤのアプローチ法と実効的に組み合わせることができる。
その理由は、ディスポーザブルスペーサ法によって生じるずれにより、チャネル領域の近くに歪みのある半導体材料が設けられ、これは、シリサイデーション後であっても維持され、その一方で、上述のように、リセスを設けた応力層がより直接的に作用するからである。以下にさらに詳述しているように、応力伝達メカニズムを向上させる様々な態様が適切に組み合わせられ、さらに、それぞれのチャネル領域に種類の違う歪みを生成するためにも用いることができる。これにより、nチャネルトランジスタとpチャネルトランジスタのパフォーマンスを個々に向上することができる可能性が与えられる。
さらに、ある態様では、たとえ、歪みのある半導体材料による歪みの伝達が対応のアクティブな半導体層の有効な厚みに制限されていたとしても、SOIのようなトランジスタアーキテクチャに対して、応力伝達メカニズムを向上させることができる。このことは、歪みのある半導体材料がドレインならびにソース領域の実質的な深度にまで下がって供給されるバルクデバイスとは異なる。図1a〜1iおよび2a〜2hに関して、以下に本発明のさらなる例示的実施形態を詳述する。図1aに、トランジスタ素子100を含む半導体デバイス150の断面図を概略的に示す。トランジスタ100は、pチャネルトランジスタやnチャネルトランジスタなどの特定の導電型の電界効果トランジスタであってもよい。ある実施形態では、トランジスタ100は基板101の上方に形成することができる。
基板101はバルクシリコン基板、シリコン−オン−インシュレータ(SOI)基板などの任意の適切な基板や任意の他の適切なキャリア材料であってもよい。図示している実施形態では、トランジスタ100はSOI状のトランジスタであってもよい。したがって、基板101の上には埋め込み絶縁層102が形成されている。この絶縁層102は、二酸化シリコン、窒化シリコンなどの任意の適切な絶縁材料から構成されうる。半導体層103は基板101の上方に形成され、実質的に結晶性の半導体材料から構成されうる。ある例示的実施形態では、現在のところ、大半の複合集積回路がシリコンベースの半導体材料から製造されることから、半導体層103は、かなりの量のシリコンを含み得る。しかし、本発明の原理は、歪みの生成がトランジスタ100のデバイスパフォーマンスに実質的影響を及ぼしうる任意の他の適切な半導体材料にも適用可能であることは明白であろう。
トランジスタ100は、この製造段階においては、ポリシリコンなどの任意の適切な材料から構成されうるゲート電極105をさらに含み、他の製造ストラテジーによれば、ゲート電極105は、後の段階で少なくとも一部を高導電性の導電材料に変更することのできる材料であってもよい。あるいは、ゲート電極105は、金属、金属化合物などの他の導電材料に後の段階で実質的に完全に置換できるプレースホルダー材料としてもよい。ゲート電極105はゲート絶縁層104によって半導体層103から分離されており、これにより、ゲート絶縁層104の下方に設けられるチャネル領域106が画定される。本明細書および請求項においては、どのような位置情報も「相対的な」位置情報としてみなされるとともに、基板101の表面101Sなどの基準位置に対して検討されることに留意されたい。
ここでは「上方の」方向は埋め込み層102が基板101の「上方」に形成され、トランジスタ100が埋め込み層102の「上方」に形成されるように、トランジスタ100によって決定される。同様に、ゲート絶縁層104はゲート電極105の「上方」または「下方」に設けられ、チャネル領域106はゲート電極105およびゲート絶縁層104の下方に設けられる。さらに、横方向とは、表面101Sに対して実質的に平行な方向と考えられる。同様に、水平方向とは、表面101Sに対して実質的に平行な方向と考えられ、一方で垂直方向とは、表面101Sに対して垂直な方向に実質的に一致する。この製造段階では、トランジスタ100のゲート電極105は、ある例示的実施形態では、二酸化シリコン、窒化シリコンまたは任意のその他の適切な材料から形成されうるキャップ層107と、例えばゲート電極105のサイドウォールに形成される適切なライナ材料と合わせた対応のサイドウォールスペーサ108とによってカプセル化されてもよい。
例えば、サイドウォールスペーサ108は、窒化シリコン、二酸化シリコン、酸窒化シリコンなどの任意の適切な誘電材料から構成されてもよい。さらに、チャネル領域106に隣接する半導体層103内に設けられる、拡張領域111とも呼ばれる高濃度領域がサイドウォールスペーサ108によって決定されるゲート電極105から横方向にずれて形成される。拡張領域111は、トランジスタ100の導電型に応じてp型ドーパントやn型ドーパントなどの任意の適切なドーパント材料で形成される。図1aに示す半導体デバイス150を形成する典型的なプロセスフローは以下のプロセスを含みうる。基板101が埋め込み層102を含まない半導体バルク基板の場合は、適切なSOI状の基板を供給したりエピタキシャル成長技術によって半導体層103を形成後に、半導体層103内に所望の垂直方向のドーパントプロファイル(図示せず)得るようにそれぞれの注入プロセスが行われる。その後、十分に確立された技術に基づいた酸化および/または蒸着によって誘電層が形成され、続いて、例えばポリシリコンが考慮されるときは、低圧化学気相蒸着(LPCVD)などの十分に確立された蒸着技術によってゲート電極材料が蒸着される。
次に、高度なフォトリソグラフィおよびエッチ技術に基づいてゲート電極材料と誘電層とをパターニングし、ゲート電極105とゲート絶縁層104とを得るようにしてもよい。ある例示的実施形態では、ゲート電極材料はそれぞれのキャップ層を伴って供給され、このキャップ層もまた、ゲート電極材料とともにパターニングされ、その結果、キャップ層107が形成される。その後、サイドウォールスペーサ108は、ライナ材料109が供給されていればライナ材料を蒸着することで形成され、続いて、窒化シリコン層、二酸化シリコン層などのスペーサ層が形成される。その際に、ライナ109とスペーサ層との間に高度なエッチ選択性を与えて、後続の異方性エッチプロセスを実効的に制御し、デバイス150の水平方向部位からスペーサ層を除去して、スペーサ108を残すようにする。半導体層103の特定の深度において特定の濃度で所望のドーパント種を導入するために、後続のイオン注入プロセス129においてゲート電極105から拡張領域111を所望の程度ずらすように、デバイス要件に従ってスペーサ層の厚みと、従ってスペーサ108の幅とが選択される。
プロセスストラテジーに応じて、イオン注入129後にアニールプロセスを実行し領域111中のドーパントを活性化させるようにしてもよく、一方で他のストラテジーでは、後続の製造段階で対応のアニールプロセスを実行してもよい。次に、1つの例示的実施形態では、プラズマエンハンスト化学気相蒸着(PECVD)などの十分に確立された技術に基づいて、さらなるスペーサ層をデバイス150の上方に形成してもよい。このさらなるスペーサ層は、スペーサ108と実質的に同じ材料で構成されてもよいし、もしくは、スペーサ108に対するエッチ選択性が高い材料から構成されてもよい。例えば、窒化シリコンや二酸化シリコンを特定の層厚で、実質的にコンフォーマルな方法で蒸着し、その後、デバイス150の水平方向部位からさらなるスペーサ材料を除去するように異方性エッチプロセスを行ってもよい。図1bに、上述のプロセスシーケンスが完了し、エッチプロセス128を行っている間の半導体デバイス150を概略的に示す。したがって、デバイス150のトランジスタ100は、さらなるスペーサ素子110を含む。
この素子はプロセス要件に応じて、スペーサ108上に直接的に形成してもよいし、あるいは、更なるライナ(図示せず)を含んでもよい。スペーサ110は、エッチプロセス128において、半導体層103の材料に対するエッチ選択性が高い適切な材料から構成される。このエッチプロセスは、ある実施形態では、実質的な異方性エッチプロセスとして設計される。一方、他の例示的実施形態では、このエッチプロセス128は異方性の程度を抑えた、つまり、等方性の高いエッチプロセスとして実行することができる。例えば、二酸化シリコン、窒化シリコンなどに対する選択性が高いシリコンベースの材料をエッチングするための実効的なエッチ法が従来技術で十分に確立されている。エッチプロセス128においてリセス112を形成してもよく、その際に、ゲート電極105に対するリセス112の横方向のずれ112Oは、スペーサ108、110の幅と、エッチプロセス128の仕様とによって決定される。
図1bに示す例では、エッチプロセス128は非常に異方性であると想定され、一方、その他の場合では、ある程度のアンダーエッチが実現される。リセス112は深度112Dに至るまで形成される。この深度112Dにより、リセス112が高応力のオーバーレイ材料によって埋め込まれた後、確実にチャネル領域106に応力を非常に実効的に伝達することができる。深度112Dは、適切に選択した目標値に基づいて、プロセス128のエッチ時間をこれに対応して制御することで得ることができる。例えば、105Lと示すトランジスタ100のゲート長、つまり、図1bにおいてゲート電極105の水平方向の拡張部が約100nmかそれよりも著しく短い、つまり、50nmやそれ以下の例示的実施形態では、深度112Dは約1〜20nmの範囲の値をとりうる。リセス112のずれ112Oは、エッチプロセス128の仕様と、上記に特定した範囲のゲート長に対しては約5〜20nmの範囲の値をとりうるスペーサ110および108の幅に応じて、約数ナノメータから10あるいは10以上のナノメータの範囲の値をとりうる。
図1cに、デバイス150をさらなる注入プロセス113にさらして、リセス112に隣接してドレインならびにソース領域114を画定するその後の製造段階における半導体デバイス150を概略的に示す。注入エネルギーおよび注入量に対して適切に選択されたプロセスパラメータに基づいて実行されるイオン注入プロセス113において、ゲート電極105はプロセスストラテジーに応じて露出される。例えば、選択的エッチプロセスに基づいてキャップ層107が除去され、その際に、ある実施形態では、キャップ層とスペーサ108および110とが窒化シリコンなどの同じ材料から実質的に構成されていれば、このスペーサ108および110も除去される。この場合、対応の新たなスペーサ115は、プロセス113において注入マスクとして機能するように、十分に確立された方法に基づいて形成されうる。
他のプロセスストラテジーでは、少なくともスペーサ110は、キャップ層107に対するエッチ選択性が高い材料から構成され、例えば、スペーサ110は二酸化シリコンから構成され、キャップ層は窒化シリコンから構成されてもよく、この逆であってもよいので、スペーサ110と108とを実質的に維持することができ、よって、イオン注入プロセス113をスペーサ110と108に基づいて実行することができる。プロセスストラテジーに応じてドレインならびにソース領域114を形成するために、現実の注入113の前後にハロ注入、アモルファイゼーション注入などのさらなる注入プロセスを行ってもよいのは明らかである。さらに、注入113を含むこれらのどの注入プロセスも、リセス112の側壁112Aでそれぞれのドーパント種を適切に位置決めすることができるように、傾斜注入プロセスを含んでもよいのは明らかである。
その結果、注入量、注入エネルギー、および傾斜角、つまり、垂直方向に対する角度であって、十分に確立されたシミュレーションモデルに基づいて得ることができる角度に対して適切に選択されたプロセスパラメータに基づいて、ドレインならびにソース領域114に対する所望の横方向および垂直方向のドーパントプロファイルを実現することができる。その後、導入したドーパント種を活性化するとともにドレインならびにソース領域114において注入により生じる損傷の少なくとも一部を再結晶化するようにアニールプロセスを行ってもよい。図1dに、上述のプロセスシーケンスが完了し、トランジスタ100の上方に形成された耐火金属層116を有するその後の製造段階における半導体デバイス150を概略的に示す。
層116は、ニッケル、白金、コバルト、およびこれらの組合せなどの1以上の金属などから構成され、プラズマベースの洗浄プロセスおよび/または熱的に活性化された洗浄プロセスを含む任意の前洗浄法とともに、スパッタ蒸着や任意の他の適切な蒸着技術などの十分に確立された技術に基づいて形成される。その後、適切な熱処理シーケンスを実行して、金属層116を下方の半導体材料と化学反応を起こすようにしてもよい。この半導体材料はゲート電極105とドレインならびにソース領域114とに供給することができるので、シリコン、シリコン/ゲルマニウム、シリコン/炭素などの半導体材料を金属シリサイドなどの高導電性の半導体金属化合物に変えることができる。化学反応を起こし、スペーサ115などの誘電部位に残留している可能性のある余分な材料を全て除去した後、応力コンタクトエッチストップ層の形成とともにさらなるプロセスを継続してもよい。
図1eに、トランジスタ素子100がドレインならびにソース領域114とゲート電極105とに形成された金属シリサイド領域117を含む半導体デバイス150を概略的に示す。ここでは、後述しているように、他のプロセスストラテジーでは、金属シリサイド領域117は共通のプロセスで形成されなくてもよいし、全く形成されなくてもよいことが分かる。さらに、応力層118がリセス112内にも形成されるように、つまり、応力層118がゲート絶縁層104の底面104Bの下方に延びるように、応力コンタクトエッチストップ層118がトランジスタ100の上方に形成される。周知のように、窒化シリコンなどの複数の誘電材料は、固有応力の特定の大きさと種類とを示すように蒸着される。その際に、固有応力の種類と大きさとは蒸着パラメータに基づいて制御される。
例えば、窒化シリコンは、プロセスパラメータ、例えば、蒸着温度、蒸着圧力、前駆物質比率、蒸着中のイオンボンバードメントなどを適切に調整することによって、例えば、1.5GPa(GigaPascal)あるいはそれ以上の引張応力から、実質的に同程度の大きさの圧縮応力の範囲にまで固有応力値が高くなるように、プラズマエンハンスト蒸着技術に基づいて非常に実効的に蒸着することができる。その結果、チャネル領域106中の導電型ならびに歪みの所望の大きさに基づいて、層118がそれぞれの内部応力を伴って供給される。例えば、トランジスタ100がpチャネルトランジスタであれば、チャネル領域106中の圧縮歪みは、トランジスタ100の正孔移動度と、従って、駆動電流容量とを増加させ、コンタクトエッチスチップ層118は、チャネル領域106中に対応の圧縮歪みを生成する圧縮応力を伴って供給される。
矢線118Aに示すように、応力層118は、実質的に同一平面のドレインならびにソース領域を有するトランジスタアーキテクチャと同じ方法で、ある程度の歪みをチャネル領域106に供給するが、この場合は、層118がチャネル領域106の位置に略対応する高さで設けられているので、付加的応力を非常に実効的にチャネル領域106に伝達できる。したがって、矢線118Bに示す対応の応力は、チャネル領域106上で、「直接的」に、非常に実効的に横方向に作用するので、さらなる歪みが生成される。よって、以下に記載しているように、他のトランジスタ構造で頻繁に使用されるような歪み半導体材料がなくても、チャネル領域106中に高度な歪みを生成でき、これにより、対応のエピタキシャル成長プロセスを省略できることから、生産コストを抑えることができる。
所望の応力種を有する層118を供給することによって、チャネル領域106中に所望の種類の歪みを生成することができる。例えば、トランジスタ100がチャネル領域106中の電子移動度を増加させるために引張応力を必要とするnチャネルトランジスタを表していれば、層118は上述のように適切に選択された蒸着パラメータに基づいて高引張応力を伴って形成されうる。さらに、以下に詳述しているように、トランジスタの型が違えば、異なる応力を有する層118を受け入れることができるので、高度な設計上のフレキシビリティが与えられる。さらに、コンタクトエッチストップ層118は、それぞれのドレインならびにソース領域上に直接的に、つまり、図1eに示す例では、それぞれの金属シリサイド領域117上に直接的に形成することができる。
一方で他のアプローチにおいては、中間ライナ(図示せず)を蒸着してもよく、この中間ライナは、後述しているように、それぞれのデバイス領域から層118の不要な部位を除去するためのエッチストップ層として用いられるものであって、薄い中間層が設けられていても応力118Bはチャネル領域106上で実質的に直接的に作用しうることから、この中間ライナは歪み伝達メカニズムに必ずしも悪影響を与えるものではない。
図1fに、ドレインならびにソース領域114に加えて拡張領域111がリセス112の形成前にイオン注入113によって形成される、さらに他の例示的実施形態による半導体デバイス150を概略的に示す。例えば、トランジスタ100は、キャップ層107と対応のスペーサ108および110とによってカプセル化されたゲート電極105を有することができる。上述のように、このスペーサ108は、ゲート電極105に対して拡張領域111を所望の程度ずらすことができ、スペーサ110は、ドレインならびにソース領域114を所望の程度ずらすことができる。
イオン注入113において、それぞれのプロセスパラメータは、ドレインならびにソース領域114が後続の製造段階で形成されるリセス112にあわせて設計されるように選択されてもよい。つまり、注入113において、さらに、ハロ注入とアモルファイゼーションのための関連の注入プロセスにおいて、注入量、注入エネルギー、および場合によっては傾斜角などのプロセスパラメータは、図1cに関しても説明しているように、ドレインならびにソース領域114が所望のドーパント濃度と傾斜とをPN接合において受け入れるように選択される。したがって、ドレインならびにソース領域114にリセスを適切に設けることができる一方で、領域114の所望の機能性を維持することができる。
図1gに、イオン注入113後のデバイス150を概略的に示しており、ある実施形態では、すでに説明したように、領域114中のドーパントを活性化する適切なアニールプロセスを行う前に、エッチプロセス128を行ってもよく、このエッチプロセスは、異方性プロセスや等方性プロセスであってもよい。したがって、結晶構造と、したがって、プロセス128におけるエッチ速度とに実質的に影響を及ぼしうる先行の注入プロセスにより、エッチプロセス128においてエッチ速度が増加しうる。このようにして、先行の注入プロセスにおけるイオンボンバードにより、スペーサ110およびキャップ層107の誘電材料よりも実効的に半導体層103を変性させることができるので、スペーサ110とキャップ層107に対するエッチの選択性が増加する。
他の例示的実施形態では、ドーパント種を活性化し、注入によって生じる損傷を再結晶化するいずれのアニールサイクル後にエッチプロセス128を実行してもよい。エッチプロセス128において、リセス112はドレインならびにソース領域114内に形成される。その際に、リセス112の深度に関して、およびゲート電極105に対するリセス112の横方向のずれに関しては、すでに説明したものと同じ基準が適用される。
その後、図1d〜1eに関しても記載しているように、さらなるプロセスを継続してもよい。他の例示的実施形態では(図示せず)、エッチプロセス128の前に必ずしもキャップ層107を設ける必要性はない。したがって、対応のプロセスにおいて、ゲート電極105がポリシリコンから構成される場合には、該ゲート電極にもリセスが設けられる。その際に、ゲート電極105の材料は、ゲート電極105を形成する間に除去されることが考慮に入れられる。つまり、ゲート電極材料は、リセス112の深度112D(図1b)に実質的に対応するある程度余分な厚みで供給され、さらに、例えばエッチプロセス128が半導体層103の実質的な結晶材料に基づいて実行され、一方でゲート電極105のシリコンが実質的に多結晶材料のときには、エッチ速度の差も考慮に入れられる。
すでに説明したように、実質的にシリコンベースの半導体デバイス150のドレインならびにソース領域および/またはゲート電極に高導電性の金属シリサイドを供給することは利点である。領域117(図1e)などのそれぞれの金属シリサイド領域がゲート電極105とドレインならびにソース領域114に対して共通に形成される場合、ゲート電極105の金属シリサイドの厚みは、それぞれのドレインならびにソース領域114の特徴によって課されるデバイスの制約によって実質的に決定される。その理由は、これらの領域では、ゲート電極の導電性を適切に向上させるように、ゲート電極105に対して望ましいとされる厚みで金属シリサイドを形成することができないからである。したがって、ある例示的実施形態では、ドレインならびにソース領域114とゲート電極105中のそれぞれの金属シリサイド領域は、相互から独立して実効的に形成することができる。
図1hに、非常に独立したやり方でそれぞれの金属シリサイド領域を形成することができる1つの例示的実施形態に係る半導体デバイス150を概略的に示す。デバイス150は、この製造段階においては、つまり、ドレインならびにソース領域114にリセスを形成後は、耐火金属層116を含み、これに加えて、ドレインならびにソース領域114をカバーしつつ、ゲート電極105の少なくとも上面を露出するように、シリサイデーションマスク119が形成される。ゲート電極105を露出するために、場合によってはスペーサ110および108とともにキャップ層107が除去され、スペーサ130に置き換えられる。その他の場合では、ゲート電極105は、すでに説明したように、キャップ層107なしに形成されていてもよい。
例えば、シリサイデーションマスク119は、層116を蒸着しゲート電極105の材料と化学反応を起こすために求められる温度に対する耐性のあるポリマー材料などの任意の適切な材料から構成されうる。このシリサイデーションマスク119は、ポリマー、フォトレジスト、あるいは任意の他の誘電材料などの適切な材料を、非常に非コンフォーマルなやり方で、例えば、低粘性の材料が考慮されるときは、実質的に流れるような埋め込み挙動を与えるスピンオン技術などの任意の蒸着技術によって蒸着することによって形成することができる。ゲート電極105もカバーするようにシリサイデーションマスク119の材料が余分に与えられていれば、後続の除去プロセスを、例えばゲート電極105に対して選択的に材料をエッチングすることによって、シリサイデーションマスク119が少なくともゲート電極105の上面が露出する高さにとなるようにする。
露出したゲート電極105から全ての汚染物を除去するために適切な洗浄プロセスを行った後、層116は、上述した任意の適切な蒸着技術に基づいて蒸着される。したがって、層116の厚みとその材料組成とは、ゲート電極105の要件に対して選択され、ゲート電極105中に所要量ならびに所要の種類の金属シリサイドを得るようにする。その後、ゲート電極105に所要量の高導電性金属シリサイドを得るように、適切な熱処理に基づいて化学反応を起こしてもよい。他のシリサイデーション法を用いることができることは明らかであり、例えば、蒸着ならびに、これと並行して、金属を金属シリサイドに変換する間にゲート電極材料のシリサイデーションを行ってもよい。その後、余分な材料が除去され、その後、同じプロセスシーケンスにおいて、任意の適切なエッチ技術などでシリサイデーションマスク119も除去される。
例えば、金属シリサイドならびに他の材料、例えば、スペーサ110およびドレインならびにソース領域114の材料に対する選択性が高い、十分に確立されたエッチ技術が十分に確立されており、このプロセスシーケンスにおいて用いることができる。次に、適切なシリサイデーションプロセスがドレインならびにソース領域114に対して実行され、その際に、それぞれのプロセスパラメータは、ドレインならびにソース領域114中の金属シリサイドが適切な種類と厚みのものとなるように選択することができる。この場合、さらなるシリサイデーションプロセスがゲート電極105中にすでに形成済みの金属シリサイドに与える影響はごく僅かである。
その後、図1eに関しても説明しているように、さらなるプロセスを継続してもよい。図1iに、pチャネルトランジスタおよびnチャネルトランジスタなど、導電型の異なるトランジスタを表す第1トランジスタ100pと第2トランジスタ100nとを含む半導体デバイス150を概略的に示す。各トランジスタ100p、100nの構造はすでに説明したトランジスタ素子100の構造と実質的に同じであるが、それぞれのドレインならびにソース領域ならびに対応のチャネル領域は、所望の導電型を与えるように適切なドーパント種を有していてもよい。トランジスタ100p、100nは、トランジスタ100に関して上述したプロセス技術に基づいて形成することができるが、各種の注入プロセスはそれぞれの注入マスクに基づいて実行され、十分に確立されたマスキング技術に基づいてトランジスタ100p、100nに所要のドーパント種を選択的に導入するようにしてもよい。
さらに、両トランジスタ100p、100nでは、それぞれのリセス112はすでに説明したものと同様のプロセス技術に従って形成することができ、金属シリサイド領域(図示せず)の形成などのさらなるプロセスはすでに説明したように形成することができる。その後、第1および第2トランジスタ100p、100nの上方に第1コンタクトエッチストップ層118pが形成され、ある実施形態では、任意のエッチストップ層120が設けられてもよい。例えば、任意のエッチストップ層120は、第1コンタクトエッチストップ層118pが窒化シリコンから構成されるときは二酸化シリコンから構成されてもよい。
他の例示的実施形態では、エッチストップ層120を省略してもよい。その後、例えば、エッチストップ層120が設けられていれば、該層に基づいてトランジスタ100nから第1コンタクトエッチストップ層118pが除去され、第2トランジスタ100nをカバーするように、場合によっては第2エッチストップ層121とともに第2コンタクトエッチストップ層118nが形成されてもよい。例えば、第1コンタクトエッチストップ層118pは、トランジスタ100pがpチャネルトランジスタを表すときには固有圧縮応力が高く、その一方で、第2コンタクトエッチストップ層118nは、トランジスタ100nがnチャネルトランジスタを表すときは、高引張応力を有しうる。
さらに、レジストマスク123などの適切なエッチマスクを形成し、トランジスタ100pを露出するように、対応のエッチプロセス124によって第2コンタクトエッチストップ層118nを除去するようにしてもよく、このエッチプロセスプロセスは、エッチストップ層121が設けられていれば、該層に基づいて制御されうる。したがって、第1トランジスタ100p上方の層118nの不要な部位を除去後に、両トランジスタの上にはすでに適切な応力コンタクトエッチストップ層が形成されている。つまり、トランジスタ100p上には、それぞれの歪みを非常に実効的な方法で生成する層118pがすでに上方に形成されており、その際に、トランジスタ100nは、様々な種類の固有応力を有する層118nを含み、それぞれのチャネル領域に所望の様々な種類の歪みが生成されるようにする。
トランジスタ100p、100nの上方に様々に応力がかけられた層を形成するために、例えば、層118pや118nの一部を選択的に応力緩和するといった他の方法を用いてもよく、あるいは、他の方法では、対応の層118pおよび118nは双方とも、どのような中間エッチストップ層120、121を有さずにそれぞれのトランジスタ100p、100n上に直接形成することができる。したがって、所要のエピタキシャル成長技術を用いることなく非常に高度な設計上のフレキシビリティが与えられる。その際に、それぞれのチャネル領域に伝達される応力の種類ならびに大きさは、それぞれのコンタクトエッチストップ層に与えられる応力に基づいて容易に制御することができる。
図2a〜2hに関して、本発明のさらなる例示的実施形態をより詳細に記載する。ここでは、歪みのある半導体材料はディスポーザブルスペーサによってチャネル領域に非常に近接して位置決めされるので、図1a〜1iに関してすでに説明した実施形態と同様に、2つの歪み生成メカニズム、つまり、チャネルの近傍に歪みのある半導体材料を供給し、リセスを設けたトランジスタ構造を用いるという2つのメカニズムを実効的に組み合わせることができる。図2a〜2dに関しては、実質的に同一平面上の構造に対するディスポーザブルスペーサアプローチ法のコンセプトが説明されており、図2e〜2gに関しては、リセスを設けたトランジスタ構造との組合せが記載されている。
図2aにおいて、半導体デバイス250はトランジスタ200を含む。該トランジスタの構造は拡張領域111以外はトランジスタ100と同様である。したがって、トランジスタ200は、基板201上方に設けられる半導体層203の上方に形成されるゲート電極205を含む。ここでは、ゲート電極205はゲート絶縁層204によって半導体層203から分離される。さらに、ゲート電極205は、キャップ層207とスペーサ208によって、場合によってはライナ209とともにカプセル化されうる。半導体デバイス250を製造するためのプロセスフローに関しては、図1aのデバイス150に関してすでに説明したものと実質的に同じプロセスが含まれうる。さらに、デバイス250はゲート電極205に隣接してリセスを形成するためにエッチプロセス228にさらされる。形成されるリセスはスペーサ208の幅208Wに対応する分だけゲート電極からずれている。
さらに、エッチプロセス128に関しても上述したようなエッチプロセス228の特徴を有する。つまり、プロセス228は、特定の深度でゲート電極205に隣接して半導体層203にリセスを設けるために、異方性または等方性エッチプロセスとして設計され、それぞれのリセスに歪みのある半導体材料を形成するようにする。この半導体材料はトランジスタ200のチャネル領域206に所望の歪みを与えることができる。十分に確立された選択的エピタキシャル成長技術に基づいて対応の歪みのある半導体材料が形成される。該技術では、層203の残りの結晶材料は、エッチプロセス228によってリセスが設けられた後に成長テンプレートとして使用され、歪みのある半導体材料を再成長させるようする。この材料は、そのままの状態で、つまり歪みのない状態で、残りの半導体層203のテンプレート材料とは格子間隔がわずかに異なるように選択される。
例えば、シリコン/ゲルマニウムあるいはシリコン/炭素は結晶性半導体化合物であり、これは、実質的に乱れていないシリコン格子上で成長する場合は、格子間隔においてそれぞれがわずかに一致しないことに起因して歪みのある半導体材料を形成する。つまり、実質的に乱れていないシリコン格子上に成長したシリコン/ゲルマニウム材料は、シリコンに対して歪みのないシリコン/ゲルマニウムの格子間隔がわずかに大きいことから、圧縮歪みのある格子を形成することができる。
同様に、シリコン上で成長するシリコン/炭素は、引張歪みの半導体材料を形成しうる。図2bに、1つの例示的実施形態によるデバイス250を概略的に示す。ここでは、エッチプロセス228と洗浄プロセスなどの任意の前エピタキシャルプロセスが完了後に、対応のリセスに歪みのある半導体材料230が形成され、その際に、本実施形態では、後続のシリサイデーションプロセスのために材料を余分に供給するように過成長させる必要なしに対応のリセスを実質的に完全に埋め込むように、歪みのある半導体材料230が形成されうる。他の実施形態では、後述しているように、選択的エピタキシャル成長プロセス後に、ある程度のアンダーフィルを維持することができる。
図示している例示的実施形態では、シリコン/ゲルマニウム半導体材料230は、それぞれの圧縮歪みを供給するようにすでに形成されている。その後、幅208Wを有し、エッチプロセス228のプロセスパラメータとともに、ゲート電極205に対しての、歪みのある半導体材料230のずれを実質的に決定するスペーサ208は、十分に確立された選択的エッチプロセスに基づいて除去される。よって、キャップ層207も除去される。スペーサ208の除去後、半導体層203に所要の横方向ならびに垂直方向のドーパントプロファイルを設けるように対応のスペーサ法を用いてもよく、従って、それぞれの拡張領域およびドレインならびにソース領域が形成される。
図2cに、対応のプロセスシーケンスにおける半導体デバイス250を概略的に示す。ここでは、拡張領域211を画定するようにオフセットスペーサ231を用いることができる一方で、ドレインならびにソース領域214を形成するためのイオン注入プロセス213において1以上の最後のスペーサ232を注入マスクとして用いることができる。スペーサ231とあわせたスペーサ232の幅232Wは対応の厚み208Wよりも大きい。ここでは、幅232Wはオフセットスペーサ231の幅を含むことは明らかである。スペーサ232を後続のシリサイデーションプロセスなどのさらなる製造プロセスにも用いることができるので、幅232Wを増加することで、歪みのある半導体材料230内に形成される金属シリサイド領域とチャネル領域206の隣に設けられる材料230の部位230A間がこれに対応してずれる。
図2dに、対応して形成された金属シリサイド領域217を有する半導体デバイス250を概略的に示す。ここでは、金属シリサイド領域217に対応するずれ217Aが、ドレインならびにソース領域214の歪みのある半導体材料230A中に生じるので、実質的に緩和した金属シリサイド領域217とチャネル領域206との間に歪みのある半導体材料が横方向に設けられる。したがって、従来のストラテジー、つまり、幅232Wのスペーサが歪みのある半導体材料230の形成にも、つまり、それぞれのキャビティの形成にも用いられ、次いでこのキャビティが図2dに図示した例示的実施形態に対応するシリサイデーションプロセスによって実質的に完全に消費されるという従来のストラテジーとは違って、歪みのある半導体材料の実質的な部分、つまり部位230Aは、ゲート絶縁層204とチャネル領域206との間の境界に実質的に対応する高さでチャネル領域206の隣にさらに設けられる。その結果、従来のストラテジーと比べると、歪みの伝達がより実効的になり、これに対応して、トランジスタ200のパフォーマンスも向上する。
その後、層118(図1e)などのそれぞれのコンタクトエッチストップ層を形成することによってさらなるプロセスを継続してもよく、ある実施形態では、チャネル領域206に生成される歪みをさらに一層強化するように、適切な固有応力を備えた対応のエッチストップ層が設けられてもよい。図2eに、さらに別の例示的実施形態に係る半導体デバイス250を概略的に示す。ここでは、図2aに関して説明したプロセスシーケンス完了後に、つまり、対応のキャビティエッチおよび選択的エピタキシャル成長プロセス後に、ゲート電極205に隣接してリセス212がさらに設けられる。つまり、エピタキシャル成長プロセスは、ゲート絶縁層204が画定した高さに満たない高さで停止する。例えば、リセス212の深度は、材料230が約30〜40nmの深度に至るまで形成されているときは、約1〜20nmである。
したがって、エピタキシャル成長プロセスにおいてすでに形成済みのキャビティを完全に埋め込まないようにすることで処理時間が短縮し、加えて、歪み生成メカニズムがさらに向上する。他の場合では、選択的エピタキシャル成長プロセスを制御することで、所望するようなプロセスの均一性が得られないときは、リセス121を設けるように歪みのある半導体材料を除去するために、図2に示すようなデバイス250を更なるエッチプロセスにさらしてもよい。その後、スペーサ208が除去され、スペーサ231および232などの、スペーサ幅232Wが増加したデバイスのスペーサに基づいて更なるプロセスを行い、ドレインならびにソース領域214と拡張領域211とを形成するようにしてもよい。
図2fに、上述のプロセスが完了した後のデバイス250を概略的に示す。図2gに、さらに進んだ製造段階におけるデバイス250を概略的に示す。トランジスタ200は、図2dに関しても上述しているように、スペーサ232に基づいて形成されうる金属シリサイド領域217を含む。したがって、それぞれの歪みのある半導体材料230を形成するために用いられるスペーサ幅208Wよりも増加したスペーサ幅232Wによって生じるずれによって、一定量のシリサイド化されていない歪みのある半導体材料230Aが金属シリサイド領域217とチャネル領域206との間に設けられる。
ゲート電極205とドレインならびにソース領域214中の金属シリサイド領域217は、図2gに示すような共通のプロセスによって形成されるか、例えば図1hに関して説明しているような、さらに独立した方法で、あるいは、任意の他の適切な方法で形成されてもよいことは明らかである。さらに、デバイス250は応力のあるコンタクトエッチストップ層218を含む。該層は、チャネル領域206において歪みをさらに強化することができるように、任意の適切な固有応力を含むことができる。図2gに示す実施形態では、層218は、それぞれのシリコン/ゲルマニウム材料230によって生成される歪みを強化するように、高圧縮応力を伴って供給されてもよい。
他の実施形態では、歪みのある半導体材料230はシリコンおよび炭素から構成されてもよいので、チャネル領域206に引張歪みが生じる。この場合、コンタクトエッチストップ層218は、高固有応力を伴って供給されてもよく、これは、すでに説明したように、適切に選択された蒸着パラメータに基づいて実現することができる。同様に、上述のように、リセス212により、チャネル領域206への応力伝達をさらに向上することができる。その理由は、層218の実質的な部分がリセス212内に形成されており、従って、応力の種類に応じて、チャネル領域206に対して218Bを直接的に「押す」つまり「引き寄せる」ことができる。このようにして、シリサイド化されていない部位230Aの歪みはさらなる直接応力218Bと組み合わせることができるので、チャネル領域206中に対応の高応力が生じる。例えば、リセス212の約1〜20nmの深度212Dと、約30〜50nmの範囲の歪みのある半導体材料230の深度230Dに対しては、チャネル領域206中の歪みが著しく増加する。
図2hに、デバイス250が導電型の異なる第1トランジスタ200pと第2トランジスタ200nとを備えた更なる例示的実施形態に係る半導体デバイス250を概略的に示す。例えば、トランジスタ200pはpチャネルトランジスタであり、トランジスタ200nはnチャネルトランジスタであってもよい。トランジスタ200p、200nの構造に関しては、トランジスタ200に関連してすでに説明したものと同じ基準が採用される。しかし、チャネル領域206とドレインならびにソース領域(明瞭化のために図示せず)の対応のプロファイルおよび濃度は、それぞれの導電型に一致するように選択することができる。したがって、第1トランジスタ200pは、その上方に第1コンタクトエッチストップ層218pが形成されている。該層は、対応のリセス212にまで拡張しており、歪みのある半導体材料230pによって生成される歪みをさらに強化するようにする。
同様に、第2トランジスタ200nは、歪みのある半導体材料230nによって設けられるそれぞれのチャネル領域中の歪みを対応して増加するように、種類の異なる内部応力を有する第2コンタクトエッチストップ層218nを含む。第1および第2コンタクトエッチストップ層218pおよび218nの形成に関しては、対応の層118p、118nに対してすでに説明したものと同じ基準を採用する。その結果、少なくとも、歪みのある半導体材料をゲート電極にさらに近づけることで、種類の異なるトランジスタに対して、2つの歪み誘発ソース、つまり、歪みのある半導体材料と応力のかけられたオーバーレイ層とを実効的に組み合わせることができる。その際に、ある実施形態ではさらに、それぞれの、応力のかけられたコンタクトエッチストップ層に対する応力伝達メカニズムをさらに強化するように、リセスを設けたトランジスタ構造を設けてもよい。その結果、本発明は1以上の歪み誘発ソースが形成されたトランジスタ素子を製造するための向上した技術を提供し、その実効性は、それぞれの歪み誘発ソースをそれぞれのトランジスタ素子のチャネル領域にさらに近づけて設けることによって実質的に増加する。
1つの態様では、このことは、ドレインならびにソース領域にリセスを設け、このリセスに現在は応力をチャネル領域にさらに直接的に生成する、応力のかけられたコンタクトエッチストップ層などの応力層を形成することによって実現される。他の態様では、歪みのある半導体材料は、チャネル領域にさらに近づけて設けられる。その際に、金属シリサイドからの有害な歪み緩和効果が低減するか、実質的に回避される。その結果、チャネル領域に歪みを設ける際の実効性が増加する。さらに、歪みのある半導体材料がもたらす歪み生成メカニズムを向上させるとともに、リセスを設けたドレインならびにソース領域に歪みのある半導体材料を形成することで、オーバーレイ応力層の実効性が向上するように、様々な技術を有利に組み合わせることができる。1つ以上の先行の技術を様々なトランジスタ型に容易に適用できることから、これらのトランジスタのパフォーマンスを個別に向上する、高度なフレキシビリティを得ることができる。
本発明による利益を享受し得る当業者であれば、本発明に関して等価の範囲内で種々の変形及び実施が可能であることは明らかであることから、上述の個々の実施形態は、例示的なものに過ぎない。例えば、上述した方法における各ステップは、その実行順序を変えることもできる。更に上述した構成あるいは設計の詳細は、なんら本発明を限定することを意図するものではなく、請求の範囲の記載にのみ限定されるものである。従って、上述した特定の実施形態は、変形及び修正が可能であることは明らかであり、このようなバリエーションは、本発明の趣旨及び範囲内のものである。従って、本発明の保護は、請求の範囲によってのみ限定されるものである。
本発明の例示的実施形態に係るリセスを設けた応力層を受け入れるために、リセスを設けたドレインならびにソース領域を形成する各種製造段階におけるトランジスタの概略的断面図。 本発明の例示的実施形態に係るリセスを設けた応力層を受け入れるために、リセスを設けたドレインならびにソース領域を形成する各種製造段階におけるトランジスタの概略的断面図。 本発明の例示的実施形態に係るリセスを設けた応力層を受け入れるために、リセスを設けたドレインならびにソース領域を形成する各種製造段階におけるトランジスタの概略的断面図。 本発明の例示的実施形態に係るリセスを設けた応力層を受け入れるために、リセスを設けたドレインならびにソース領域を形成する各種製造段階におけるトランジスタの概略的断面図。 本発明の例示的実施形態に係るリセスを設けた応力層を受け入れるために、リセスを設けたドレインならびにソース領域を形成する各種製造段階におけるトランジスタの概略的断面図。 さらに別の例示的実施形態に係るイオン注入後にドレインならびにソース領域にリセスを設けるためにエッチプロセスが行われる、リセスを設けたドレインならびにソース領域の形成におけるトランジスタの概略的断面図。 さらに別の例示的実施形態に係るイオン注入後にドレインならびにソース領域にリセスを設けるためにエッチプロセスが行われる、リセスを設けたドレインならびにソース領域の形成におけるトランジスタの概略的断面図。 さらに別の例示的実施形態に係る、非常に分離した(decoupled)方法でドレインならびにソース領域とゲート電極とに金属シリサイドを形成する間のトランジスタの概略的断面図。 本発明の他の例示的実施形態に係る、別々に応力がかけられたオーバーレイ層を受け入れる型の違う2つのトランジスタを含む半導体デバイスの概略的断面図。 本発明の例示的実施形態に係る、金属シリサイドに対するずれが増加した、埋め込まれた歪みのある半導体材料を形成する間のトランジスタデバイスの概略的断面図。 本発明の例示的実施形態に係る、金属シリサイドに対するずれが増加した、埋め込まれた歪みのある半導体材料を形成する間のトランジスタデバイスの概略的断面図。 本発明の例示的実施形態に係る、金属シリサイドに対するずれが増加した、埋め込まれた歪みのある半導体材料を形成する間のトランジスタデバイスの概略的断面図。 本発明の例示的実施形態に係る、金属シリサイドに対するずれが増加した、埋め込まれた歪みのある半導体材料を形成する間のトランジスタデバイスの概略的断面図。 本発明の例示的実施形態に係る、金属シリサイドに対するずれが増加した、埋め込まれた歪みのある半導体材料を形成する間のトランジスタデバイスの概略的断面図。 オーバーレイ層からの応力伝達を向上するために、埋め込まれた歪みのある半導体材料とリセスを設けたドレインならびにソース領域とを有するトランジスタ素子の概略的断面図。 オーバーレイ層からの応力伝達を向上するために、埋め込まれた歪みのある半導体材料とリセスを設けたドレインならびにソース領域とを有するトランジスタ素子の概略的断面図。 本発明のさらに他の例示的実施形態に係る、それぞれが歪みのある半導体材料と、リセスを設けたドレイン/ソースアーキテクチャとを有する導電型の違う2つのトランジスタを有する半導体デバイスの概略的断面図。

Claims (13)

  1. 半導体デバイス(150、250)であって、
    第1導電型の第1トランジスタ(100、200)を含み、前記第1トランジスタ(100、200)は、
    第1チャネル領域(106、206)の上方に形成される第1ゲート電極(105、205)と、
    前記第1ゲート電極(105、205)と前記第1チャネル領域(106、206)との間に形成される第1ゲート絶縁層(104、204)と、
    前記第1チャネル領域(106、206)に隣接して形成される第1ドレインならびにソース領域(114、214)と、を含み、前記第1ドレインならびにソース領域(114、214)は前記第1ゲート絶縁層(104、204)の底面に対してリセス(112D、212D)を設けた上面を有するものであって、さらに、
    前記第1ドレインならびにソース領域(114、214)の上方に形成される第1応力層(118、218)を含み、前記第1応力層(118、218)は、前記第1リセスを設けたドレインならびにソース領域(114、214)によって形成されるリセス(112、212)にまで延びている、半導体デバイス(150、250)。
  2. 前記第1導電型とは異なる第2導電型の第2トランジスタ(100、200)をさらに含み、前記第2トランジスタ(100、200)は、
    第2チャネル領域(106、206)の上方に形成される第2ゲート電極(105、205)と、
    前記第2ゲート電極(105、205)と前記第2チャネル領域(106、206)との間に形成される第2ゲート絶縁層(104、204)と、
    前記第2チャネル領域(106、206)に隣接して形成される第2ドレインならびにソース領域(114、214)を含み、前記第2ドレインならびにソース領域(114、214)は前記第2ゲート絶縁層(104、204)の底面に対してリセス(112D、212D)を設けた上面を有するものであって、さらに、
    前記第2ドレインならびにソース領域(114、214)の上方に形成される第2応力層(118、218)を含み、前記第2応力層(118、218)は、前記第2リセスを設けたドレインならびにソース領域(112、214)によって形成されるリセス(112、212)にまで延びている、請求項1記載の半導体デバイス(150、250)。
  3. 前記第1リセスを設けたドレインならびにソース領域(214)は、第1の歪みのある半導体材料(230n、230p)を含む、請求項1記載の半導体デバイス(150、250)。
  4. 前記第1リセスを設けたドレインならびにソース領域(114、214)は、第1の歪みのある半導体材料(230、230p)を含み、前記第2リセスを設けたドレインならびにソース領域(114、214)は、前記第1の歪みのある半導体材料(230n、230p)とは異なる第2の歪みのある半導体材料(230n、230p)を含む、請求項2記載の半導体デバイス(150、250)。
  5. 前記第1ゲート電極(205)のサイドウォールに形成されるサイドウォールスペーサ(232)と、
    前記サイドウォールスペーサ(232)に隣接する前記第1ドレインならびにソース領域(214)に形成される金属シリサイド(217)と、
    前記第1ドレインならびにソース領域(214)中の歪みのある半導体材料(230)と、を含み、前記歪みのある半導体材料(230)の一部は、前記金属シリサイド(217)と前記第1チャネル領域(206)との間に横方向(217A)に設けられるように前記サイドウォールスペーサの下方に形成される、請求項1記載の半導体デバイス(250)。
  6. 第1の幅(208W)の第1サイドウォールスペーサ(208)を含むゲート電極構造(205)に隣接するリセス(212)を半導体層(203)に形成するステップと、
    前記リセス(212)に歪みのある半導体材料(230)を形成するステップと、
    前記第1サイドウォールスペーサ(208)を除去するステップと、
    前記第1の幅(208)よりも広い第2の幅(232W)を有する第2サイドウォールスペーサ(232)に基づいて、少なくとも前記歪みのある半導体材料(230)にドレインならびにソース領域(214)を形成するステップと、を含む、方法。
  7. 前記歪みのある半導体(230)を形成するステップは、前記ゲート電極構造(205)と前記半導体層(203)との間に設けられるゲート絶縁層(204)に対してリセス(212D)が設けられるように、少なくとも一部を形成するステップを含む、請求項6記載の方法。
  8. 前記ドレインならびにソース領域(214)の上方に、前記歪みのある半導体材料(230)によって画定されるリセス(212)にまで延びる応力層(218)を形成するステップをさらに含む、請求項7記載の方法。
  9. 前記第2サイドウォールスペーサ(232)に基づいて前記歪みのある半導体材料(230)に金属シリサイド(217)を形成するステップをさらに含む、請求項6記載の方法。
  10. 第1電界効果トランジスタ(100、200)のゲート電極(105、205)に隣接して第1リセス(112、212)を形成するステップを含み、前記ゲート電極(105、205)は半導体層(103、203)の上方に設けられ、そのサイドウォールにはサイドウォールスペーサ(115、232)が形成されているものであって、さらに、
    前記サイドウォールスペーサ(115、232)に隣接してドレイン領域ならびにソース領域(114、214)を形成するステップと、
    前記第1電界効果トランジスタ(100、200)の上方に第1誘電応力層(118、218)を形成するステップを含み、前記第1誘電応力層(118、218)は、前記第1誘電応力層(118、218)の底面が、前記ゲート電極(105、205)と前記半導体層(103、203)との間に設けられるゲート絶縁層(104、204)の底面の下方に延びるように、前記リセス(112、212)に形成される、方法。
  11. 前記ゲート電極(105、205)の前記サイドウォールにオフセットスペーサ(108、231)を形成するステップと、
    前記オフセットスペーサ(108、231)に基づいてドレインならびにソース拡張領域(111、211)を形成するステップと、を含む請求項10記載の方法。
  12. 前記ドレインならびにソース拡張領域(111、121)は前記リセス(112、212)の形成前に形成される、請求項11記載の方法。
  13. 第2電界効果トランジスタ(100、200)のゲート電極(105、205)に隣接して第2リセス(112、212)を形成するステップを含み、前記第2電界効果トランジスタ(100、200)の前記ゲート電極(105、205)は、前記半導体層(103、203)の上方に設けられており、さらに、そのサイドウォールにはサイドウォールスペーサ(115、232)が形成されているものであって、
    前記サイドウォールスペーサ(115、232)に隣接してドレイン領域ならびにソース領域(114、214)を形成するステップと、
    前記第2電界効果トランジスタ(100、200)の上方に第2誘電応力層(118、218)を形成するステップを含み、前記第2誘電応力層(118、218)は、前記第12誘電応力層(118、218)の底面が、前記第2電界効果トランジスタ(100、200)の前記ゲート電極(105、205)と前記半導体層(103、203)との間に設けられるゲート絶縁層(104、204)の底面の下方に延びるように、前記リセス(112、212)に形成されるものであって、前記第2誘電応力層(118、218)の固有応力は前記第1誘電応力層(118、218)の固有応力とは異なる、請求項10記載の方法。
JP2009502792A 2006-03-31 2007-02-21 ドレイン及びソース領域にリセスを設けることでチャネル領域に極めて近接するトランジスタにストレスソース与える技術 Expired - Fee Related JP5576655B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102006015077.5 2006-03-31
DE102006015077A DE102006015077B4 (de) 2006-03-31 2006-03-31 Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
US11/558,006 2006-11-09
US11/558,006 US7696052B2 (en) 2006-03-31 2006-11-09 Technique for providing stress sources in transistors in close proximity to a channel region by recessing drain and source regions
PCT/US2007/004689 WO2007126495A1 (en) 2006-03-31 2007-02-21 Technique for providing stress sources in transistors in close proximity to a channel region by recessing drain and source regions

Publications (2)

Publication Number Publication Date
JP2009532861A true JP2009532861A (ja) 2009-09-10
JP5576655B2 JP5576655B2 (ja) 2014-08-20

Family

ID=38513194

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009502792A Expired - Fee Related JP5576655B2 (ja) 2006-03-31 2007-02-21 ドレイン及びソース領域にリセスを設けることでチャネル領域に極めて近接するトランジスタにストレスソース与える技術

Country Status (6)

Country Link
US (2) US7696052B2 (ja)
JP (1) JP5576655B2 (ja)
KR (1) KR101430703B1 (ja)
CN (1) CN101416287B (ja)
DE (1) DE102006015077B4 (ja)
TW (1) TWI511273B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011527102A (ja) * 2008-06-30 2011-10-20 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 凹状のドレイン及びソース区域並びに非共形的な金属シリサイド領域を有するmosトランジスタを備えたcmosデバイス
JP2012516557A (ja) * 2009-01-30 2012-07-19 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 歪誘起合金及び段階的なドーパントプロファイルを含むその場で形成されるドレイン及びソース領域
KR101452977B1 (ko) 2014-02-27 2014-10-22 연세대학교 산학협력단 트랜지스터, 및 트랜지스터의 스트레인 인가 방법

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7719062B2 (en) * 2006-12-29 2010-05-18 Intel Corporation Tuned tensile stress low resistivity slot contact structure for n-type transistor performance enhancement
US7968952B2 (en) * 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
US8536619B2 (en) * 2007-02-05 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained MOS device and methods for forming the same
US20080246056A1 (en) * 2007-04-09 2008-10-09 Chan Victor W C SILICIDE FORMATION FOR eSiGe USING SPACER OVERLAPPING eSiGe AND SILICON CHANNEL INTERFACE AND RELATED PFET
DE102007030053B4 (de) * 2007-06-29 2011-07-21 Advanced Micro Devices, Inc., Calif. Reduzieren der pn-Übergangskapazität in einem Transistor durch Absenken von Drain- und Source-Gebieten
JP5165954B2 (ja) * 2007-07-27 2013-03-21 セイコーインスツル株式会社 半導体装置
US20100155858A1 (en) * 2007-09-04 2010-06-24 Yuan-Feng Chen Asymmetric extension device
US8013426B2 (en) * 2007-12-28 2011-09-06 Intel Corporation Transistor having raised source/drain self-aligned contacts and method of forming same
KR100971414B1 (ko) * 2008-04-18 2010-07-21 주식회사 하이닉스반도체 스트레인드 채널을 갖는 반도체 소자 및 그 제조방법
DE102008046400B4 (de) * 2008-06-30 2011-05-19 Amd Fab 36 Limited Liability Company & Co. Kg Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors
DE102008035816B4 (de) * 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
DE102008054075B4 (de) * 2008-10-31 2010-09-23 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
KR101107204B1 (ko) * 2008-12-29 2012-01-25 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
DE102009010882B4 (de) * 2009-02-27 2012-04-19 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Transistor mit einer eingebetteten Halbleiterlegierung in Drain- und Sourcegebieten, die sich unter die Gateelektrode erstreckt und Verfahren zum Herstellen des Transistors
CN102024705B (zh) * 2009-09-22 2012-03-14 中芯国际集成电路制造(上海)有限公司 半导体器件以及用于制造半导体器件的方法
US8383474B2 (en) * 2010-05-28 2013-02-26 International Business Machines Corporation Thin channel device and fabrication method with a reverse embedded stressor
US8546228B2 (en) * 2010-06-16 2013-10-01 International Business Machines Corporation Strained thin body CMOS device having vertically raised source/drain stressors with single spacer
US8377780B2 (en) 2010-09-21 2013-02-19 International Business Machines Corporation Transistors having stressed channel regions and methods of forming transistors having stressed channel regions
CN102456572B (zh) * 2010-10-18 2014-01-01 中芯国际集成电路制造(上海)有限公司 用于制作包含应力层的半导体器件结构的方法
US8669146B2 (en) 2011-01-13 2014-03-11 International Business Machines Corporation Semiconductor structures with thinned junctions and methods of manufacture
DE102011005641B4 (de) * 2011-03-16 2018-01-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Leistungssteigerung in Transistoren durch Reduzierung der Absenkung aktiver Gebiete und durch Entfernen von Abstandshaltern
US20130175585A1 (en) * 2012-01-11 2013-07-11 Globalfoundries Inc. Methods of Forming Faceted Stress-Inducing Stressors Proximate the Gate Structure of a Transistor
US20130292766A1 (en) * 2012-05-03 2013-11-07 International Business Machines Corporation Semiconductor substrate with transistors having different threshold voltages
US8691644B2 (en) * 2012-07-05 2014-04-08 Texas Instruments Incorporated Method of forming a CMOS device with a stressed-channel NMOS transistor and a strained-channel PMOS transistor
KR102059526B1 (ko) * 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
TWI605592B (zh) * 2012-11-22 2017-11-11 三星電子股份有限公司 在凹處包括一應力件的半導體裝置及其形成方法(二)
CN105097930A (zh) * 2014-05-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法及半导体器件
CN105470296A (zh) * 2014-09-09 2016-04-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9685535B1 (en) * 2016-09-09 2017-06-20 International Business Machines Corporation Conductive contacts in semiconductor on insulator substrate
US10529861B2 (en) * 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10707352B2 (en) * 2018-10-02 2020-07-07 Qualcomm Incorporated Transistor with lightly doped drain (LDD) compensation implant

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006229071A (ja) * 2005-02-18 2006-08-31 Fujitsu Ltd 半導体装置
JP2007501526A (ja) * 2003-08-04 2007-01-25 インターナショナル・ビジネス・マシーンズ・コーポレーション 格子不整合のソースおよびドレイン領域を有する歪み半導体cmosトランジスタを有する集積回路および製作方法
JP2007220808A (ja) * 2006-02-15 2007-08-30 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6870179B2 (en) * 2003-03-31 2005-03-22 Intel Corporation Increasing stress-enhanced drive current in a MOS transistor
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7138320B2 (en) * 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7244654B2 (en) * 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
JP4700295B2 (ja) * 2004-06-08 2011-06-15 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7172933B2 (en) * 2004-06-10 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed polysilicon gate structure for a strained silicon MOSFET device
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7939413B2 (en) * 2005-12-08 2011-05-10 Samsung Electronics Co., Ltd. Embedded stressor structure and process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007501526A (ja) * 2003-08-04 2007-01-25 インターナショナル・ビジネス・マシーンズ・コーポレーション 格子不整合のソースおよびドレイン領域を有する歪み半導体cmosトランジスタを有する集積回路および製作方法
JP2006229071A (ja) * 2005-02-18 2006-08-31 Fujitsu Ltd 半導体装置
JP2007220808A (ja) * 2006-02-15 2007-08-30 Toshiba Corp 半導体装置及びその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011527102A (ja) * 2008-06-30 2011-10-20 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 凹状のドレイン及びソース区域並びに非共形的な金属シリサイド領域を有するmosトランジスタを備えたcmosデバイス
US8673713B2 (en) 2008-06-30 2014-03-18 Advanced Micro Devices, Inc. Method for forming a transistor with recessed drain and source areas and non-conformal metal silicide regions
JP2012516557A (ja) * 2009-01-30 2012-07-19 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 歪誘起合金及び段階的なドーパントプロファイルを含むその場で形成されるドレイン及びソース領域
KR101452977B1 (ko) 2014-02-27 2014-10-22 연세대학교 산학협력단 트랜지스터, 및 트랜지스터의 스트레인 인가 방법

Also Published As

Publication number Publication date
US20100155850A1 (en) 2010-06-24
US20070228482A1 (en) 2007-10-04
TW200802803A (en) 2008-01-01
JP5576655B2 (ja) 2014-08-20
US7696052B2 (en) 2010-04-13
TWI511273B (zh) 2015-12-01
US8274120B2 (en) 2012-09-25
DE102006015077A1 (de) 2007-10-11
DE102006015077B4 (de) 2010-12-23
KR20090007388A (ko) 2009-01-16
KR101430703B1 (ko) 2014-08-14
CN101416287A (zh) 2009-04-22
CN101416287B (zh) 2010-07-21

Similar Documents

Publication Publication Date Title
JP5576655B2 (ja) ドレイン及びソース領域にリセスを設けることでチャネル領域に極めて近接するトランジスタにストレスソース与える技術
JP5204645B2 (ja) 強化した応力伝送効率でコンタクト絶縁層を形成する技術
JP5795260B2 (ja) 段階的な形状の構造を有する埋め込み歪誘起材質を伴うトランジスタ
TWI417992B (zh) 用於形成具有不同特性之接觸絕緣層及矽化物區域之技術
JP4937263B2 (ja) Nmosトランジスタおよびpmosトランジスタに凹んだ歪みのあるドレイン/ソース領域を形成する技術
KR101290819B1 (ko) 얇은 soi 트랜지스터의 내장된 스트레인층 및 이를형성하는 방법
US7741167B2 (en) Semiconductor device comprising NMOS and PMOS transistors with embedded Si/Ge material for creating tensile and compressive strain
KR101148138B1 (ko) 리세스된 드레인 및 소스 영역을 갖는 nmos 트랜지스터와 드레인 및 소스 영역에 실리콘/게르마늄 물질을 갖는 pmos 트랜지스터를 포함하는 cmos 디바이스
US20070096194A1 (en) Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
JP5544367B2 (ja) トランジスタにおいて進歩したシリサイド形成と組み合わされる凹型のドレイン及びソース区域
JP2010532572A (ja) トランジスタのゲート電極のプレアモルファス化のブロッキング
KR20080073352A (ko) 스트레인된 트랜지스터의 결정질 결함들을 경사진 선행비결정화에 의해서 감소시키는 방법
US7482219B2 (en) Technique for creating different mechanical strain by a contact etch stop layer stack with an intermediate etch stop layer
JP5798923B2 (ja) 基板全域にわたって高められた均一性を有する埋め込みSi/Ge材質を伴うトランジスタ
US20080237712A1 (en) Soi transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto
JPWO2006030505A1 (ja) Mos型電界効果トランジスタ及びその製造方法
KR101252262B1 (ko) 서로 다른 특성들을 갖는 콘택 절연층 실리사이드 영역을형성하는 기술
WO2007126495A1 (en) Technique for providing stress sources in transistors in close proximity to a channel region by recessing drain and source regions
KR20080007391A (ko) 개선된 스트레스 이동 효율을 가지는 접촉 절연층 형성기술

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100219

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20100308

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130911

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140704

R150 Certificate of patent or registration of utility model

Ref document number: 5576655

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees