JP2009530806A - サセプタ及びこれを備える半導体製造装置 - Google Patents

サセプタ及びこれを備える半導体製造装置 Download PDF

Info

Publication number
JP2009530806A
JP2009530806A JP2009500279A JP2009500279A JP2009530806A JP 2009530806 A JP2009530806 A JP 2009530806A JP 2009500279 A JP2009500279 A JP 2009500279A JP 2009500279 A JP2009500279 A JP 2009500279A JP 2009530806 A JP2009530806 A JP 2009530806A
Authority
JP
Japan
Prior art keywords
susceptor
pocket
pockets
wafer
semiconductor manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009500279A
Other languages
English (en)
Other versions
JP5001349B2 (ja
Inventor
クン ソン、ヒョ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LG Innotek Co Ltd
Original Assignee
LG Innotek Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LG Innotek Co Ltd filed Critical LG Innotek Co Ltd
Publication of JP2009530806A publication Critical patent/JP2009530806A/ja
Application granted granted Critical
Publication of JP5001349B2 publication Critical patent/JP5001349B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

実施形態は、サセプタ及びこれを備える半導体製造装置を提供する。実施形態に係るサセプタは、ウェハがローディングされ、底面が傾いたポケットを一つ以上含む。実施形態に係る半導体製造装置は、反応チェンバと、反応チェンバ内で熱を発生する加熱手段と、ウェハがローディングされ、少なくとも一つは底面が傾いたポケットを一つ以上含むサセプタと、前記サセプタに軸結合される回転軸と、を含む。
【選択図】図3

Description

本発明の実施形態は、サセプタ及びこれを備える半導体製造装置に関する。
一般に、半導体製造装置には、MOCVD(Metal Organic Chemical vapor deposition)、MBE(Molecular Beam Epitaxy)、CVD(Chemical vapor deposition)などの結晶成長装置を用いる。このような結晶成長装置は、ウェハ表面に半導体発光素子、HEMT(High electron mobility transistor)、FET(Field effect transistor)、レーザダイオードなどの素子を成長させるために用いられる。
前記結晶成長装置内でウェハをローディングする装置としては、真空方式のサセプタ(susceptor)が多く使用されている。
図1は、従来の半導体製造装置を概略的に示す図である。
図1及び図2に示すように、半導体製造装置10は、反応チェンバ20、サセプタ30、回転軸50、ヒータ60、シュラウド70を含む。
反応チェンバ20内のサセプタ30の上側には、多数のポケット34が形成され、各ポケット34にはウェハ40がローディングされる。ここで、図2の(b)のようにポケット34の底面38は水平な構造を有する。
前記回転軸50はサセプタ30の下部に軸結合され、前記ヒータ60は、サセプタ30の下に配置されて、サセプタ30の下部を加熱する。
シュラウド70は、反応チェンバ20の上からソース物質を供給する。
このような半導体製造装置10を用いる半導体製造工程は、次の通りである。
前記サセプタ30のポケット34には、ウェハ40がローディングされる。前記反応チェンバ20内にシュラウド70を介してソース物質が供給され、回転軸50によりサセプタ30が回転し、ヒータ60によりサセプタ30及び反応チェンバ内部が加熱される。この時、前記流入するソース物質同士の化学反応により、前記ウェハ40の表面に半導体薄膜や絶縁膜などが形成される。
この時、サセプタ30にローディングされたウェハ40の表面には、有機金属とアンモニアとの気相反応によりアルミニウム窒化膜、ガリウム窒化膜、インジウム窒化膜、或いはアルミニウム−ガリウム窒化膜、アルミニウム−インジウム窒化膜、ガリウム−インジウム窒化膜などが蒸着されることができる。
前記ヒータ60で発生する熱は、サセプタ30の回転軸50を基準にして、同じ半径の領域を均一な温度で加熱するが、異なる半径の領域は均一な温度で加熱することができない。これによって、サセプタ30のセンタに位置する回転軸50がサセプタ30のセンタ部分の熱を伝導するので、サセプタ30のセンタ領域とアウター領域間に温度差が発生する。このような温度差により、図9のサセプタ修正前のグラフのように、ポケット34のセンタ地点からインナー地点に向かうほど温度が低くなる分布になる。
結果的に、サセプタ30にローディングされたウェハ表面に温度差が発生する。それによって、ウェハに蒸着されるエピ層のアウター領域とインナー領域が異なる成長速度を有するようになる。それによって、一枚のウェハ内で生産された半導体発光素子の発光波長に偏差が発生し、一枚のウェハで成長する物質膜の厚さに領域によって大きい差が発生する。
このように、一つのサセプタまたは一つのウェハで生産される半導体素子の発光波長の範囲が均一でなく、多様に表れる問題がある。
本発明の実施形態は、上述の問題点に鑑みてなされたもので、その目的は、ウェハに均一な厚さの薄膜が形成されるようにするサセプタ及びこれを備える半導体製造装置を提供することにある。
本発明の実施形態の他の目的は、半導体発光素子の光度を向上させるサセプタ及びこれを備える半導体製造装置を提供することにある。
本発明の実施形態のまた他の目的は、傾いたポケットを有するサセプタ及びこれを備える半導体製造装置を提供することにある。
上記目的を達成すべく、本発明に係るサセプタは、反応チェンバ内に備えられたサセプタであって、底面が傾いた一つ以上のポケットを含む。
実施形態に係るサセプタは、ウェハがローディングされたサセプタであって、多数のポケットを含み、前記ポケットのうち少なくとも一つは底面が傾くように形成される。
実施形態に係る半導体製造装置は、反応チェンバと、反応チェンバ内で熱を発生する加熱手段と、ウェハがローディングされ、少なくとも一つは底面が傾いたポケットを一つ以上含むサセプタと、前記サセプタに軸結合される回転軸と、を含む。
実施形態によれば、サセプタに傾いたポケットを提供して、サセプタの回転軸により発生するウェハ表面の温度偏差を除去し、均一な温度を有するようにすることで、ウェハ表面に蒸着される物質膜の厚さを一定にすることができる。
以下、本発明の好ましい実施の形態を、添付図面に基づき詳細に説明する。
図3は、本発明の実施形態に係る半導体製造装置を示す断面図であり、図4の(a)、(b)は、図3のサセプタの平面図及びB−B断面図であり、図5は、ポケットの詳細図である。
図3に示すように、半導体製造装置100は、反応チェンバ120、サセプタ(susceptorまたはwafer carrier)130、回転軸150、ヒータなどの加熱手段160、シュラウド170を含む。
前記反応チェンバ120内にはサセプタ130が備えられ、前記サセプタ130の上側には一つ以上のポケット140が形成される。ここで、前記ポケット140のうち少なくとも一つは、底面が傾いた構造からなる。
前記ポケット140にはウェハ(図示せず)がローディングされ、前記回転軸150は、サセプタ130の下部に軸結合されて、サセプタ130を回転させる。前記加熱手段160は、サセプタ130の下部及び反応チェンバ内部を所定温度に加熱する。前記シュラウド170は、反応チェンバ120内にソース物質を供給する。
前記反応チェンバ120内には、シュラウド170を介してソース物質が供給され、内部のサセプタ130が回転軸150により回転し、加熱手段160により反応チェンバ120内部及びサセプタ130が加熱される。この時、前記流入するソース物質同士の化学反応により、前記ウェハ(図示せず)の表面に半導体薄膜や絶縁膜などが形成される。
サセプタ130のポケットの底面が傾くことで、サセプタ130の厚さは前記ポケット140の底面深さまたは底面傾斜角度に反比例する。それによって、ポケット140の底面が深い領域であるほど、サセプタ130を介してウェハに伝達される熱が増加し、ポケット140の底面が浅い領域であるほど、サセプタ130を介してウェハに伝達される熱が減少する。
ここで、半導体製造装置100は、MOCVD(Metal Organic Chemical vapor deposition)、MBE(Molecular Beam Epitaxy)、CVD(Chemical vapor deposition)などの装置を含む。このような装置を用いて、ウェハ(図示せず)の表面に窒化ガリウム系半導体発光素子、HEMT(High electron mobility transistor)、FET(Field effect transistor)、レーザダイオードなどの素子が成長する。
前記サセプタ130は、カーボン(Carbon)またはアルミニウムナイトライド(Aluminium Nitride,AlN)材質で製作され、前記サセプタ130の表面及びポケット140内でウェハと接触する面はシリコンカーバイド(Silicon Carbide,SiC)、カーボン(Carbon)またはアルミニウムナイトライド膜でコーティングされる。
ここで、前記サセプタ130の表面にシリコンカーバイドまたはアルミニウムナイトライド膜をコーティングすることで、フッ酸を利用する化学的洗浄または熱洗浄(theral cleaning)によりカーボン材質のサセプタが損傷されることを防止し、特性低下を防止することができる。ここで、前記シリコンカーバイドまたはアルミニウムナイトライド膜は、10Å〜10000Åの厚さで蒸着されることが適合する。
図4の(a)、(b)に示すように、前記サセプタ130の上面132には一つ以上のポケット140が形成され得るが、提示の図面には、6個のポケット140(P1〜P6)が形成された例が図示されており、本発明はこれに限定されない。
前記サセプタ130には、ウェハの大きさまたは反応チェンバ120の容量によって、1〜50個のポケットまたはそれ以上のポケットが形成され得る。また、サセプタ130にはポケットが1列またはそれ以上の列で形成され得る。
前記ポケット140には、フラット部分とラウンド部分が存在し、前記フラット部分はポケットの外側に形成され、ウェハの回転を防止する。または、ポケットのフラット部分を除去することもできる。
以下、説明の便宜のために、サセプタ130のセンタXを基準にして、ポケット140の最外側端は、アウター地点141とし、最内側端はインナー地点142とする。ポケット140内部で、インナー地点142とアウター地点141の距離dは最大になる。前記ポケット140で、フラット部分はアウター地点141またはインナー地点142に形成されるか他の地点に形成されることもできる。
前記ポケットP1、P4の底面144は傾いている。第1ポケットP1の底面144はアウター地点141よりインナー地点142が深い傾斜面で形成され、第4ポケットP4の底面144は、インナー地点142よりアウター地点141が深い傾斜面で形成される。
ここで、第4ポケットP4のように、インナー地点142の深さよりアウター地点141の深さをもっと深く形成することにより、サセプタ130で発生するウェハ表面の温度偏差を大きくすることができ、前記ウェハで生産される半導体発光素子の光度をもっと向上させることができる。
前記ポケットP1、P4の傾いた底面144は、サセプタ130の底面134間の厚さに影響を与える。すなわち、サセプタ130の厚さは、ポケットP1、P4の傾いた底面144によって異なる。ここで、サセプタ130の下部中央には、軸結合溝135が形成される。
また、サセプタ130で、ポケットP1、P2、P3は底面がインナー地点142に傾き、ポケットP4、P5、P6は底面がアウター地点141に傾くように形成されることができる。このようなポケットP1〜P6の底面の傾斜方向は、サセプタ130内にローディングされたウェハの温度分布によって変更することができる。
図5の(a)、(b)を参照すると、ポケットP4の最小深さh1は、少なくともウェハの厚さ以上であり、ポケットP4の最大深さh2は、傾斜角度によって異なることができる。
また、ポケットP4はインナー地点142がそのポケット内で最小深さh1となり、アウター地点141がそのポケット内で最大深さh2となる。ポケットP1は、ポケットP4とは底面144の傾斜方向が反対になっている。
ここで、前記ポケットP1、P4の底面傾斜角度θ1は、サセプタ130の上面132を基準に0<θ1≦−10゜の範囲内で設定される。この時のポケットP1、P4内での最大深さh2は10mm以下で形成され、最小深さh1はウェハの厚さ以上で形成されることができる。ここで、前記ポケットP1、P4の底面深さまたは傾斜角度は、ウェハのサイズによって変更することができる。例えば、2インチウェハの場合、最大3mm〜5mm程度の深さで形成されることもできる。
前記ポケットP4とポケットP1は、底面傾斜方向が反対であり、底面傾斜角度θ1は同一である。
図6〜図8は、本発明の実施形態に係るサセプタの他の例を示す図である。
図6に示すように、ポケットP11の底面144は、インナー地点142からアウター地点141にサセプタ130上面132に対して角度θ2で傾き、ポケットP14は、アウター地点141からインナー地点142にサセプタ130上面132に対して角度θ3で傾いている。このような底面傾斜角度θ2、θ3は、相違するように形成されることができる。
図7に示すように、ポケットP21、P24は、サセプタ130のセンタXまたはインナー地点142に向かうほど、底面が深くなる構造になっている。ポケットP21、P24の底面144は、同一な角度または相違する角度で傾くことができる。ここで、二つのポケットP21、P24は、互いに対応する位置に配置されることができる。
図8に示すように、ポケットP31、P34は、サセプタ130の外側Yまたはアウター地点141に向かうほど底面が深くなる傾斜構造になっている。この時、二つのポケットP31、P34の底面傾斜角度θ4、θ5は、互いに同一であるか異なるように構成することができる。ここで、二つのポケットP31、P34は互いに対応する位置に配置されることができる。
このような実施形態では、サセプタ130で互いに対応するポケット140の底面がインナー地点142またはアウター地点141に向かって傾くようにすることができる。そして、サセプタ130内で形成されたポケット140の底面傾斜角度と底面傾斜方向は選択的に変更することができる。また、一つのサセプタ130内で水平なポケットと傾いたポケットを混合して使用することもできる。
一方、前記のようなサセプタ130を備える半導体製造装置100の動作について図3〜図5を参照して説明する。本発明は、説明の便宜のためにMOCVD装備を用いることとして説明する。
サセプタ130の上面に形成された一つ以上のポケット140の傾いた底面にウェハがローディングされる。加熱手段160は反応チェンバ120内の温度及びサセプタ130下部を加熱し、回転軸150はサセプタ130を所定速度で回転させる。
ここで、回転軸150は、図4の(b)に示すようにサセプタ130の下部に形成された軸結合溝135に結合される。
前記ポケット140の底面傾斜角度は、サセプタ130の上面132を基準にして0゜<θ≦−10゜である。
そして、前記反応チェンバ120に連結されたシュラウド170を介して、反応チェンバ120内に原料ガスとキャリアガスが流入する。前記キャリアガスは、例えば、トリメチルアルミニウムガス、アンモニアガス及び水素または窒素を含むことができる。
シュラウド170を介して流入したトリメチルアルミニウムガスとアンモニアガスは、それぞれ個別的にガス同士に反応しないように、前記反応チェンバ120内部に案内され、加熱手段160により反応チェンバ120内壁の温度、特にサセプタ130上部の温度が上昇し、サセプタ130上側にローディングされたウェハが約1000℃の温度で加熱される。
反応チェンバ120内でトリメチルアルミニウムガスとアンモニアガスの気相反応が形成され、ウェハ上に、例えば、窒化アルミニウムが効果的に蒸着される。ここで、前記ウェハ表面に蒸着された物質膜厚さの変動は、1.8%に改善されることができる。
このように、各ポケット140の傾いた底面によりウェハが傾いてローディングされることで、ウェハ領域別温度差を減らすことができる。従って、ウェハ全体に均一な加熱が行われる。また、サセプタ130にローディングされたウェハは、例えば、基準温度から±2℃の偏差以内の均一な温度で維持されることができる。
従って、一つのサセプタ130上で各ポケット140にローディングされたウェハから生産された半導体発光素子を比較すると、ウェハの全領域で同一な発光波長領域を有する素子を生産することができる。例えば、一つのポケット140にローディングされたウェハのセンタ領域、インナー領域、アウター領域で生産された半導体発光素子が約455nm程度の発光波長領域を有する。
前記の方法で一枚のウェハで製造された各発光ダイオード別発光波長領域を検査した結果の例が図9〜図12のグラフに図示されている。
図9は、サセプタの温度を測定するときにおいて、ポケット底面に対する修正前(Before modify、従来)及び修正後(After modify、本発明)のサセプタの領域別温度分布図である。ここで、修正前のポケット底面傾斜角度は0゜であり、修正後のポケット底面傾斜角度は、インナー地点に−0.1゜傾いたポケットで成長したウェハである。グラフの実線は、修正前のサセプタ(従来)を示し、グラフの点線は修正後のサセプタ(本発明)を示す。
サセプタに形成されたポケットのインナー地点が最大深さで形成され、サセプタの厚さは外周からセンタ方向に向かって薄くなるので、サセプタのセンター地点(center point)からインナー地点(inner point)に向かうほど温度分布が修正前よりΔTだけ増加することが分かる。結果的に、本発明のサセプタを使用した時、従来のサセプタよりサセプタ領域間の温度差が3℃程度減少する。
図10及び図11は、本発明のサセプタ上に面積が2インチのウェハをローディングした後、その上部に物質膜を形成し、ウェハで生産された半導体発光素子を検査した時の波長分布図である。図10は、ポケット底面がインナー地点に−1゜傾いており、図11は、ポケット底面がアウター地点に−2゜傾いている。そして、図10及び図11の縦軸のCountは測定された半導体発光素子の個数を示し、横軸は半導体発光素子のpeak lambda(nm)を示す。
図10及び図11に示すように、一枚のウェハで生産された半導体発光素子の出力波長は、殆ど452nm及び458nmの単一波長を中心にそれぞれ分布している。
図12は、本発明に係るサセプタを用いて成長したウェハを一定間隔で分けて測定した半導体発光素子の波長特性を示すグラフである。ここで、横軸はインナー地点からアウター地点まで一定間隔で2、4、6、8の番号を付けている。
図12で、ポケットBは底面がインナー方向に−0.5゜傾き、ポケットCは底面がインナー方向に−1゜傾き、ポケットDは底面がインナー方向に−2゜傾き、ポケットEは底面がアウター方向に−0.5゜傾いた構造である。
図12のグラフを参照すると、各ポケットB、C、D、E別に波長特性が異なるが、ウェハのアウター領域(outer area)からインナー領域(inner area)に向かうほど、生産された発光ダイオード素子がほぼ類似する発光特性を有する。そして、ウェハの全領域で発光波長領域だけでなく発光ダイオードの動作電圧も約3.0Vでほぼ類似する。
結果的に、従来技術によるサセプタを使用するより、本発明によるサセプタを使用すると、サセプタの領域間温度差を減少させることができる。すなわち、ウェハの全面に対して温度偏差が改善された特性を示す。これは、サセプタにローディングされたウェハが均一な温度で加熱されるので、ウェハの全領域に対して物質膜が均一に蒸着されることで、相違する発光波長領域を有する発光ダイオードが生産されることを最小化することができる。
上述した本発明の好ましい実施の形態は、例示の目的のために開示されたものであり、本発明の属する技術の分野における通常の知識を有する者であれば、本発明の技術的思想を逸脱しない範囲内で、様々な置換、変形、及び変更が可能であり、このような置換、変更などは、特許請求の範囲に属するものである。
実施形態に係るサセプタ及びこれを備える半導体製造装置によれば、ウェハに均一な熱が伝達されることで、ウェハ表面に均一な薄膜が形成されることができる。
また、サセプタ内にローディングされたウェハで生産される半導体発光素子の電気的/光学的特性の偏差が改善され、また、各ウェハでの半導体素子の歩留まりが改善されることができる。
さらに、ウェハ表面の温度偏差をより大きくすることで、半導体発光素子の光度をより向上させることができる。
従来半導体製造装置を示す側断面図である。 図2(a)、(b)は、従来サセプタの平面図及びA−A断面図である。 本発明の実施形態に係る半導体製造装置を示す側断面図である。 図4(a)、(b)は、本発明の実施形態に係るサセプタの平面図及びB−B断面図である。 図5(a)、(b)は、本発明の実施形態に係るポケットの詳細図である。 本発明の実施形態に係るサセプタのポケットの例を示す図である。 本発明の実施形態に係るサセプタのポケットの例を示す図である。 本発明の実施形態に係るサセプタのポケットの例を示す図である。 本発明及び従来のサセプタの領域別温度測定結果を比較して示すグラフである。 本発明の実施形態に係るポケットの底面傾斜によって一枚のウェハで生産された素子とこれらの発光波長特性を示すグラフである。 本発明の実施形態に係るポケットの底面傾斜によって一枚のウェハで生産された素子とこれらの発光波長特性を示すグラフである。 本発明の実施形態に係るポケットの底面傾斜によってウェハの領域別発光波長特性を示すグラフである。

Claims (20)

  1. ケースと、
    反応チェンバ内に備えられたサセプタであって、
    底面が傾いた一つ以上のポケットを含むサセプタ。
  2. 前記ポケットは1〜50個形成される請求項1に記載のサセプタ。
  3. 前記複数のポケットはサセプタセンターから外側まで1列以上で形成される請求項1に記載のサセプタ。
  4. 前記ポケットの底面はサセプタ上面を基準に0゜<θ≦−10゜傾くように形成される請求項1に記載のサセプタ。
  5. 前記複数のポケットは底面の傾斜角度が全て同一であるかポケット別に相違するように形成される請求項1に記載のサセプタ。
  6. 少なくとも一つのポケット底面が、サセプタの外側からセンター方向またはサセプタのセンター方向から外側方向に傾くように形成される請求項1に記載のサセプタ。
  7. 少なくとも一つのポケット底面が、そのポケットのインナー地点からアウター地点または前記ポケットのアウター地点からインナー地点に傾くように形成される請求項1に記載のサセプタ。
  8. ポケットのアウター地点が最大深さを有する一つ以上の第1ポケット及び/またはポケットインナー地点が最大深さを有する一つ以上の第2ポケットを含む請求項1に記載のサセプタ。
  9. 前記ポケットの底面の深さは、サセプタ上面を基準に最小ウェハ厚さ以上であり、最大10mm以上である請求項1に記載のサセプタ。
  10. 前記サセプタまたはポケットは、カーボン(carbon)材質またはアルミニウムナイトライド(aluminium nitride)材質で形成されるかコーティングされる請求項1に記載のサセプタ。
  11. 前記コーティングは、10Å〜10000Åの厚さの蒸着で行われる請求項10に記載のサセプタ。
  12. ウェハがローディングされたサセプタであって、
    多数のポケットを含み、
    前記ポケットのうち少なくとも一つは底面が傾いて形成されるサセプタ。
  13. 前記ポケットは、底面が水平なポケットまたは/及び底面がインナー地点またはアウター地点に傾いたポケットである請求項12に記載のサセプタ。
  14. 前記ポケットの底面は、サセプタ上面を基準に0゜<θ≦−10゜傾くように形成される請求項12に記載のサセプタ。
  15. 反応チェンバと、
    反応チェンバ内で熱を発生する加熱手段と、
    ウェハがローディングされ、少なくとも一つは底面が傾いたポケットを一つ以上含むサセプタと、
    前記サセプタに軸結合される回転軸と、
    を含む半導体製造装置。
  16. 前記傾いたポケットは、サセプタのセンターから外側方向に傾いた傾斜面または/及びサセプタの外側からセンター方向に傾いた傾斜面を有する請求項15に記載の半導体製造装置。
  17. 前記ポケットの底面傾斜角度は、サセプタ上面を基準に0゜<θ≦−10゜である請求項15に記載の半導体製造装置。
  18. 前記傾いたポケットの底面深さはサセプタ上面から最小ウェハ厚さ以上であり、最大10mm以下である請求項15に記載の半導体製造装置。
  19. 前記傾いた複数のポケットは、底面の傾斜角度が全て同一であるかポケット別に相違するように形成される請求項15に記載の半導体製造装置。
  20. 前記反応チェンバは、MOCVD(Metal Organic Chemical vapor deposition)、MBE(Molecular Beam Epitaxy)、CVD(Chemical vapor deposition)装置のうち何れか一つの装置を含む請求項15に記載の半導体製造装置。
JP2009500279A 2006-03-14 2007-03-09 サセプタ及びこれを備える半導体製造装置 Expired - Fee Related JP5001349B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020060023354A KR20070093493A (ko) 2006-03-14 2006-03-14 서셉터 및 반도체 제조장치
KR10-2006-0023354 2006-03-14
PCT/KR2007/001163 WO2007105877A1 (en) 2006-03-14 2007-03-09 Susceptor and semiconductor manufacturing apparatus including the same

Publications (2)

Publication Number Publication Date
JP2009530806A true JP2009530806A (ja) 2009-08-27
JP5001349B2 JP5001349B2 (ja) 2012-08-15

Family

ID=38509670

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009500279A Expired - Fee Related JP5001349B2 (ja) 2006-03-14 2007-03-09 サセプタ及びこれを備える半導体製造装置

Country Status (6)

Country Link
US (1) US8323413B2 (ja)
JP (1) JP5001349B2 (ja)
KR (1) KR20070093493A (ja)
CN (1) CN101317256B (ja)
TW (1) TWI447830B (ja)
WO (1) WO2007105877A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013513236A (ja) * 2009-12-02 2013-04-18 ビーコ インストゥルメンツ インコーポレイテッド 基板担体の性能を改善する方法
JP2014187282A (ja) * 2013-03-25 2014-10-02 Nuflare Technology Inc 成膜装置および成膜方法

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100055318A1 (en) * 2008-08-29 2010-03-04 Veeco Instruments Inc. Wafer carrier with varying thermal resistance
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8888919B2 (en) * 2010-03-03 2014-11-18 Veeco Instruments Inc. Wafer carrier with sloped edge
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR101882330B1 (ko) * 2011-06-21 2018-07-27 엘지이노텍 주식회사 증착 장치
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR20130074710A (ko) * 2011-12-26 2013-07-04 엘지이노텍 주식회사 증착 장치
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014081424A1 (en) * 2012-11-21 2014-05-30 Ev Group Inc. Accommodating device for accommodation and mounting of a wafer
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
CN103194730A (zh) * 2013-04-09 2013-07-10 上海华力微电子有限公司 氮化钛化学气相沉积设备
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102181390B1 (ko) * 2014-02-07 2020-11-20 엘지이노텍 주식회사 반도체 제조 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10276455B2 (en) * 2016-07-29 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for measurement of semiconductor device fabrication tool implement
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10923385B2 (en) * 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWM539150U (zh) * 2016-11-21 2017-04-01 環球晶圓股份有限公司 晶圓承載盤
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017105947A1 (de) * 2017-03-20 2018-09-20 Aixtron Se Suszeptor für einen CVD-Reaktor
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN215560803U (zh) * 2020-03-27 2022-01-18 首尔伟傲世有限公司 晶圆载体
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5775738U (ja) * 1980-10-27 1982-05-11
JPH1074705A (ja) * 1996-07-12 1998-03-17 Applied Materials Inc 高温サセプタ
JP2001518238A (ja) * 1997-03-24 2001-10-09 クリー インコーポレイテッド 炭化ケイ素薄膜用のサセプタの設計
JP2004207545A (ja) * 2002-12-26 2004-07-22 Hitachi Cable Ltd 半導体気相成長装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3633537A (en) * 1970-07-06 1972-01-11 Gen Motors Corp Vapor deposition apparatus with planetary susceptor
US4100879A (en) * 1977-02-08 1978-07-18 Grigory Borisovich Goldin Device for epitaxial growing of semiconductor periodic structures from gas phase
JPS5921094Y2 (ja) 1979-04-20 1984-06-20 トキコ株式会社 気圧式倍力装置
US4858558A (en) * 1988-01-25 1989-08-22 Nippon Kokan Kabushiki Kaisha Film forming apparatus
JP3596710B2 (ja) * 1996-09-10 2004-12-02 信越半導体株式会社 気相成長装置用サセプタ
CN1460094A (zh) * 2001-04-12 2003-12-03 揖斐电株式会社 陶瓷接合体、其制造方法以及半导体晶片用陶瓷结构体
CN1211753C (zh) * 2002-06-30 2005-07-20 联想(北京)有限公司 基于互动健身器械的自然风模拟装置
WO2005013334A2 (en) * 2003-08-01 2005-02-10 Sgl Carbon Ag Holder for supporting wafers during semiconductor manufacture

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5775738U (ja) * 1980-10-27 1982-05-11
JPH1074705A (ja) * 1996-07-12 1998-03-17 Applied Materials Inc 高温サセプタ
JP2001518238A (ja) * 1997-03-24 2001-10-09 クリー インコーポレイテッド 炭化ケイ素薄膜用のサセプタの設計
JP2004207545A (ja) * 2002-12-26 2004-07-22 Hitachi Cable Ltd 半導体気相成長装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013513236A (ja) * 2009-12-02 2013-04-18 ビーコ インストゥルメンツ インコーポレイテッド 基板担体の性能を改善する方法
US9269565B2 (en) 2009-12-02 2016-02-23 Veeco Instruments Inc. Method for improving performance of a substrate carrier
US10262883B2 (en) 2009-12-02 2019-04-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
JP2014187282A (ja) * 2013-03-25 2014-10-02 Nuflare Technology Inc 成膜装置および成膜方法

Also Published As

Publication number Publication date
CN101317256A (zh) 2008-12-03
US8323413B2 (en) 2012-12-04
US20090314209A1 (en) 2009-12-24
WO2007105877A1 (en) 2007-09-20
TW200739788A (en) 2007-10-16
JP5001349B2 (ja) 2012-08-15
KR20070093493A (ko) 2007-09-19
CN101317256B (zh) 2010-04-14
TWI447830B (zh) 2014-08-01

Similar Documents

Publication Publication Date Title
JP5001349B2 (ja) サセプタ及びこれを備える半導体製造装置
US7699604B2 (en) Manufacturing apparatus for semiconductor device and manufacturing method for semiconductor device
KR20140006858A (ko) 제위치에서의 파이로미터 교정을 위한 방법 및 시스템
TWM531053U (zh) 具有14個容置區的排列組態之晶圓載具
KR101046068B1 (ko) 화학 기상 증착 장치용 서셉터 및 이를 구비하는 화학 기상증착 장치
CN107978552B (zh) 气相生长装置、环状支架以及气相生长方法
TWM531054U (zh) 具有36個容置區的排列組態之晶圓載具
US20130255578A1 (en) Chemical vapor deposition apparatus having susceptor
US20090194018A1 (en) Apparatus and method for manufacturing epitaxial wafer
JP2018037537A (ja) 気相成長装置
KR101038876B1 (ko) 화학기상증착용 웨이퍼 및 이를 제조하는 방법
US20090277387A1 (en) Susceptor and chemical vapor deposition apparatus including the same
KR20090011345A (ko) 서셉터 및 이를 구비한 반도체 제조장치
KR101378801B1 (ko) 복수개의 기판이 안착되는 기판 서포트의 중앙을 관통하는 공정 가스 공급부를 갖는 배치식 에피택셜층 형성장치
KR102209032B1 (ko) 웨이퍼 캐리어
KR102181390B1 (ko) 반도체 제조 장치
KR20190094903A (ko) 반도체 제조 장치
KR20150098808A (ko) 서셉터 및 이를 구비하는 화학기상증착장치
KR102509205B1 (ko) 에피택셜 웨이퍼 제조 장치
KR102063490B1 (ko) 반도체 제조장치
KR100966369B1 (ko) 화학기상증착용 서셉터
KR20120057395A (ko) 서셉터 및 반도체 제조장치
KR101882327B1 (ko) 증착 장치 및 증착 방법
JP2007238967A (ja) 気相成長装置、気相成長方法、基板加熱装置、および基板加熱方法
KR20120051968A (ko) 서셉터 및 이를 구비한 화학 기상 증착 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120424

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120517

R150 Certificate of patent or registration of utility model

Ref document number: 5001349

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150525

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees