JP2009519610A - ソース領域とドレイン領域との間にボックス層を有する歪みシリコンmosデバイス - Google Patents

ソース領域とドレイン領域との間にボックス層を有する歪みシリコンmosデバイス Download PDF

Info

Publication number
JP2009519610A
JP2009519610A JP2008545707A JP2008545707A JP2009519610A JP 2009519610 A JP2009519610 A JP 2009519610A JP 2008545707 A JP2008545707 A JP 2008545707A JP 2008545707 A JP2008545707 A JP 2008545707A JP 2009519610 A JP2009519610 A JP 2009519610A
Authority
JP
Japan
Prior art keywords
region
oxide
silicon
source
gate stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008545707A
Other languages
English (en)
Other versions
JP5122476B2 (ja
Inventor
クレーロ,ジュゼッペ
デシュパンデ,へマント,ヴィ
チャギ,スニット
ボーア,マーク
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2009519610A publication Critical patent/JP2009519610A/ja
Application granted granted Critical
Publication of JP5122476B2 publication Critical patent/JP5122476B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78639Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device with a drain or source connected to a bulk conducting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

MOSデバイスは、ゲート誘電体上に配置されたゲート電極を有するゲートスタックと、ゲートスタックの両側面に形成された第1のスペーサ及び第2のスペーサと、第1のスペーサに近接するソース領域と、第2のスペーサに近接するドレイン領域と、ゲートスタックの下に位置し且つソース領域とドレイン領域との間に配置されたチャネル領域とを有する。本発明に従ったMOSデバイスは更に、チャネル領域の下に位置し且つソース領域とドレイン領域との間に配置された埋め込み酸化物(BOX)領域を含む。BOX領域は、サリサイドスパイク不良を防止しながらトランジスタの抵抗及びゲート端部の接合寄生キャパシタンスを低減させるよう、より深いソース及びドレイン領域が形成されることを可能にする。

Description

本発明の実施形態は、MOSデバイス及びその製造方法に関する。
半導体基板上の例えばトランジスタ、抵抗及びキャパシタ等の集積回路デバイスの性能向上は、これらのデバイスの設計、製造及び使用において考慮される主要な要素である。例えば、相補型金属−酸化物半導体(CMOS)に使用されるデバイス等の金属−酸化物半導体(MOS)トランジスタデバイスの設計及び製造においては、しばしば、N型MOSデバイス(NMOS)のチャネル内での電子の移動度を高めること、及びP型MOSデバイス(PMOS)のチャネル内での正孔の移動度を高めることが望まれる。
MOSトランジスタにおける電子及び正孔の移動度を高める1つの技術は、トランジスタのチャネル領域に歪みシリコンを用いるものである。チャネル内のシリコン原子は格子構造内に整然と詰められている。NMOSトランジスタは、シリコン原子が自然状態においてよりも遠く離れるように格子構造を引き延ばすことにより、一層高速にスイッチングする。同様に、PMOSトランジスタは、格子構造を圧縮することにより、一層高速にスイッチングする。この引き伸ばすこと及び圧縮することは、シリコンを歪ませることとして知られている。
チャネル領域のシリコンを歪ませるため、MOSトランジスタのソース領域及びドレイン領域はエッチングされ、低濃度にドープされたシリコンチャネル領域とは異なる格子構造を有するシリコン合金で置換される。このエッチングプロセスはソース/ドレイン領域を除去し、トランジスタゲートスタックに隣接するスペーサの下を削り落とす(アンダーカットする)。これは図1及び2に示されている。図1は、バルクシリコン基板102条に構築されたMOSトランジスタ100を例示している。トランジスタ100の、通常より高い位置まで隆起したソース領域(隆起ソース領域)104及び通常より高い位置まで隆起したドレイン領域(隆起ドレイン領域)106は、チャネル領域110に歪みを与えるシリコン合金108で充填されている。図示されるように、アンダーカット112は、トランジスタゲートスタック116の両側面に形成された一対のスペーサ114の下方の領域をシリコン合金108で充填することを可能にする。
図2は、シリコン・オン・インシュレータ(SOI)基板200上に形成された同様のMOSトランジスタ100を例示している。SOI基板200は埋め込み酸化物層202を含んでおり、埋め込み酸化物層202はシリコンから成る薄いデバイス層204とシリコンから成るバルク層206との間に挟まれている。ここに示されたトランジスタ100は、チャネル領域110に歪みを与えるように、シリコン合金108で充填されたソース領域104及びドレイン領域106を含んでいる。この場合も、アンダーカット112は、スペーサ114の下方の領域をシリコン合金108で充填することを可能にする。
アンダーカットの深さは、性能と短チャネル効果(SCE)との間のトレードオフに基づいて選定される。深すぎるアンダーカットは、ソース領域とドレイン領域との間の分離性が非常に小さくなり、表面下のパンチスルーリークの増大をもたらすので、SCEを悪化させる。加えて、深いアンダーカットは、チャネル領域の両側に形成される長い縦方向の壁に起因して、ゲート端部の接合寄生キャパシタンスを増大させる。
深いアンダーカットは、しかしながら、シリコンの歪みを増大させるとともに電気抵抗を低減させることを可能にするという利点を有する。電気抵抗は低くなるのは、浅いアンダーカットにおいては、電流はコンタクトから収集される前に広がる余地が殆どないので非常に高い抵抗を生じさせるからである。深いアンダーカットはまた、隣接するシャロー・トレンチ・アイソレーション(STI)構造の残存酸化物よりアンダーカットが浅いときに発生し得るサリサイドスパイク不良を防止する。図3は、サリサイドスパイク不良を生じさせる従来の構成を例示している。図示されるように、トランジスタ300はSOI基板302上に形成されている。トランジスタ300は、埋め込み酸化物層308の上に薄いシリコン層306を残存させる浅いアンダーカット領域304を含んでいる。隣接するSTI構造310は、一般的にプロセスに起因して浅い高さを有するが、これは、後に堆積されたニッケル金属層312に薄いシリコン層106が接触することを可能にしてしまう薄いシリコン層302とニッケル金属層312との間の接触は、サリサイドスパイク不良を生じさせる。
従って、100nm未満の歪みシリコンMOSデバイスには、移動度の利益及び表面下でのパンチスルーからの保護を高めながら、ソース領域及びドレイン領域内の電気抵抗を低減させ、ゲート端部の寄生キャパシタンスを低減させることが可能な、改善された設計が望まれる。
本発明は、ソース領域及びドレイン領域内の電気抵抗を低減させ、ゲート端部の寄生キャパシタンスを低減させることが可能な歪みシリコンMOSデバイス、及びその製造方法を提供することを目的とする。
本発明の一態様に従った装置は、
ゲート誘電体上に配置されたゲート電極を有するゲートスタック;
ゲートスタックの両側面に形成された、第1のスペーサ及び第2のスペーサ;
第1のスペーサに近接するソース領域;
第2のスペーサに近接するドレイン領域;
ゲートスタックの下に位置し、且つソース領域及びドレイン領域の側面に位置するチャネル領域;及び
チャネル領域の下に位置し、且つソース領域及びドレイン領域の側面に位置する埋め込み酸化物領域;
を有する。
本発明の他の一態様に従った方法は、
第1のシリコン層及び第2のシリコン層との間に配置された酸化物層を含むSOI基板を準備する工程;
第1のシリコン層上にトランジスタゲートスタックを形成する工程;
トランジスタゲートスタックの両側面に一対のスペーサを形成する工程;
一対のスペーサに隣接する第1のシリコン層の露出部分を、酸化物層が露出されるまで、等方性エッチングする工程であり、トランジスタゲートスタックの下にチャネル領域を形成する工程;
酸化物層の露出部分を、第2のシリコン層が露出されるまで、異方性エッチングする工程であり、チャネル領域の下に埋め込み酸化物領域を形成する工程;及び
ソース領域及びドレイン領域を形成するように、第2のシリコン層の露出部分上にシリコン合金を堆積する工程;
を有する。
ここでは、ソース領域とドレイン領域との間に埋め込み酸化物層を含むMOSトランジスタを形成するシステム及び方法を説明する。以下の説明においては、例示的な実施形態の様々な態様を、当業者が自身の仕事内容を他の当業者に伝えるために一般的に使用する用語を用いて説明する。しかしながら、当業者に明らかであるように、本発明は説明される態様の一部のみを用いて実施されてもよい。説明目的で、具体的な数、材料及び構成が、例示される実施形態の完全な理解を与えるために説明される。しかしながら、当業者に明らかであるように、本発明はこれら具体的な詳細事項を用いずに実施されてもよい。また、例示される実施形態を不明りょうにしないよう、周知の特徴については省略あるいは簡略化することとする。
様々な処理が、複数の別個の処理として、言い換えると、本発明の理解に最も有用な方法で説明されるが、説明の順序は、これらの処理が必然的に順序に依存するものであることを示唆するものとして解釈されるべきではない。特に、これらの処理は必ずしも、提示される順序で実行される必要はない。
本発明の実施形態は、ソース領域とドレイン領域との間に埋め込み酸化物(buried oxide;BOX)層を含む単軸歪みMOSトランジスタのためのシステム及び方法を提供する。ソース領域とドレイン領域との間にBOX層を形成することは、従来の深いアンダーカットに伴う問題を最小化しながら、深いアンダーカットを使用することを可能にする。具体的には、ソース領域とドレイン領域との間にBOX層を含めることは、ゲート端部の寄生キャパシタンスを生じさせ得る空乏層の形成を抑制しながら、ソース/ドレイン抵抗を低減させ、且つサリサイドスパイク不良を防止するように、深いアンダーカットを使用することを可能にする。
図4は、本発明の一実施形態に従ったMOSトランジスタを製造する方法400を示している。そして、図5−9は、方法400が実行されるときに形成される構造を示している。以下の説明においては、方法400の様々な処理段階の更なる説明及び明瞭化のため、図5−9が参照される。
先ず、完全空乏型のシリコン・オン・インシュレータ(SOI)基板が準備される(図4の段階402)。技術的に周知のように、SOIウェハは一般的に、薄いシリコン層とバルクシリコン基板との間に、例えばシリコン酸化物(SiO)層などの絶縁層を含んでいる。この絶縁層は、故に、シリコン内に“埋め込み”されており、埋め込み酸化物(BOX)層と呼ばれることがある。代替的な実施形態においては、以下に限られないが炭素ドープ酸化物(CDO)、有機高分子、ペルフルオロシクロブタン(PFCB)、オキシナイトライド、及びフッ化珪酸塩ガラス(FSG)を含む、SiO以外のBOX層が用いられてもよい。一部の実施形態において、BOX層は例えば窒素などのドーパントを用いてドープされてもよい。
図5は、薄いシリコン層504とバルクシリコン基板506との間に形成されたBOX層502を有する従来からのSOIウェハ500を示している。薄いシリコン層504には、例えばトランジスタ等の1つ以上の集積回路デバイスが形成され得る。BOX層502の存在は一般的にキャパシタンスを低減させ、故に、各トランジスタがスイッチング動作中に移動させなければならない電荷量が一般的に減少され、トランジスタは高速化されるとともに、より小さいエネルギーを用いてスイッチングすることが可能になる。数多くの例において、SOIウェハ上に構築された集積回路は、従来のCMOS集積回路より高速になるとともに少ない電力を使用する。SOIウェハ500はまた、形成される例えばトランジスタ等のデバイス群を電気的に分離するためのSTI構造508を含み得る。
本発明の実施形態において、後のエピタキシャル成長中に起こり得る如何なる格子不整合問題をも回避するためには、サイモックス(separation by implantation of oxygen;SIMOX)プロセスを用いて形成された、SIMOXウェハとして知られるSOI基板が好ましい。技術的に周知のように、例えばスマートカットSOIウェハ等の代替的なSOIウェハは、同一の結晶方位を有するものの、BOX層とシリコンボディとの間に横方向のオフセットを有し得る。
そして、SOIウェハの上に、PMOSデバイス及び/又はNMOSデバイス等のMOSデバイスのトランジスタゲートスタック、及び必要なスペーサが形成される(段階404)。従来のCMOS処理においては、PMOSトランジスタ及びNMOSトランジスタの双方が同一のシリコンウェハ上に形成される。故に、ゲートスタックの一部はPMOSトランジスタを構築するために使用され、ゲートスタックの他の一部はNMOSトランジスタを構築するために使用される。図6は、STI構造508間のSOIウェハ500の上に形成された1つのトランジスタゲートスタック600を例示している。技術的に周知のように、各トランジスタゲートスタック600は少なくとも、ゲート電極602、及びゲート誘電体604を含む。一般的に、一対のスペーサ606がトランジスタゲートスタック600の両側面に形成される。
一部の実施形態において、ゲート誘電体604は、エピタキシャル成長された二酸化シリコン(SiO)から形成され得る。ゲート電極602は、ポリシリコン層の堆積及びエッチングによって形成され得る。ゲート電極602を形成するようにポリシリコンをパターニングするために、従来からのフォトリソグラフィ技術が使用され得る。この実施形態において、トランジスタゲートスタック600は更に、ポリシリコンゲート電極の頂部にシリサイド層(図示せず)を有していてもよい。例えば、ニッケル層がトランジスタゲートスタック600上に堆積され、ニッケルシリサイド層を形成するようにアニールされる。ニッケル層を堆積することには、例えばスパッタ堆積法などの従来からの金属堆積プロセスが使用され得る。
他の一部の実施形態においては、ゲート誘電体604は高誘電率(high−k)誘電体で形成されてもよく、ゲート電極602は金属又は金属合金を用いて形成されてもよい。このようなトランジスタはhigh−k/金属ゲートトランジスタとして知られている。ゲート誘電体604として使用され得るhigh−k誘電体は、以下に限られないが、ハフニウム酸化物、ハフニウムシリコン酸化物、ハフニウムシリコン酸窒化物、ランタン酸化物、ジルコニウム酸化物、ジルコニウムシリコン酸化物、タンタル酸化物、チタン酸化物、バリウムストロンチウムチタン酸化物、BST、バリウムチタン酸化物、ストロンチウムチタン酸化物、イットリウム酸化物、アルミニウム酸化物、鉛スカンジウムタンタル酸化物、鉛亜鉛ニオブ酸塩、及びPZT、並びにその他のハフニウム(Hf)系あるいはジルコニウム(Zr)系の材料を含む。一部の実施形態において、high−k誘電体はアニールされてもよい。ゲート電極602として使用され得る金属又は金属合金は、以下に限られないが、銅、ルテニウム、パラジウム、白金、コバルト、ニッケル、ルテニウム酸化物、タングステン、アルミニウム、チタン、タンタル、チタン窒化物、タンタル窒化物、ハフニウム、ジルコニウム、金属炭化物、又は導電性金属酸化物を含む。
一部の実施形態において、high−k/金属ゲートトランジスタのゲートスタックは、最初にスペーサを形成することによって形成されてもよい。そして、high−k誘電体の層がスペーサ間に堆積され、アニールされる。最後に、金属又は金属合金の層がhigh−k誘電体の頂部に堆積され、金属ゲート電極を形成するように平坦化される。堆積された金属を平坦化することには、従来からの化学的機械的研磨(CMP)プロセスが使用され得る。
他の一部の実施形態においては、high−k/金属ゲートトランジスタのゲートスタックは、最初にSOIウェハ上にhigh−k誘電体を堆積し、high−k誘電体をアニールすることによって形成されてもよい。そして、high−k誘電体はゲート誘電体を形成するようにエッチングされ得る。ゲート誘電体の両側の端部に一対のスペーサが形成され、high−k誘電体の頂部に金属又は金属合金が堆積され得る。そして、金属層は、金属ゲート電極を形成するように、例えばCMPによって平坦化され得る。
スペーサ606は、例えばシリコン窒化物などの材料を用いて形成され得る。スペーサの材料は、トランジスタゲートスタック600がSiO/ポリシリコン、又はhigh−k/金属の何れで成るかに拘わらず同一とし得る。
一部の実施形態において、ソース領域及びドレイン領域(図示せず)は、場合により、スペーサ606に隣接する薄いシリコン層504の領域にドーパントをイオン注入することによって形成されてもよい。ソース及びドレインの領域を形成するために使用され得るドーパントは、N型領域を形成するためのヒ素、リン、及び/又はアンチモン等、及びP型領域を形成するためのボロン及び/又はアルミニウム等、技術的に周知である。ドーパントを活性化し、ソース領域及びドレイン領域の形成を完了させるため、高温アニールプロセスが使用され得る。ドープされたソース領域及びドレイン領域の形成は必ずしも必要ではないが、技術的に知られているように、高濃度にドープされたソース領域及びドレイン領域の存在は、後のエッチングプロセスの助けとなり得る。
トランジスタゲートスタック及びスペーサが形成された後、1つのグループのゲートスタックが後述の後続エッチング及び堆積プロセスからマスクされる(段階406)。本発明に従って、PMOSトランジスタを構築するために必要なエッチング及び堆積プロセスは、NMOSトランジスタを構築するために必要なエッチング及び堆積プロセスとは異なる。例えば、ソース領域及びドレイン領域が形成されている場合、PMOSトランジスタに使用されるドーパントは、NMOSトランジスタに使用されるドーパントと異なるため、異なるエッチングプロセスが必要となり得る。さらに、圧縮歪みを与えるためにPMOSトランジスタに使用されるシリコン合金は、引張歪みを与えるためにNMOSトランジスタに使用されるシリコン合金とは異なる。故に、本発明の実施形態に従ってPMOSトランジスタが形成されようとする場合、NMOSトランジスタのトランジスタゲートスタックはマスク材料で遮断される。同様に、本発明の実施形態に従ってNMOSトランジスタが形成されようとする場合、PMOSトランジスタのトランジスタゲートスタックはマスク材料で遮断される。
或るグループのトランジスタをマスクするために使用され得る材料は、以下に限られないが、酸化物、窒化物、オキシナイトライド、及びその他の類似材料を含む。本発明の実施形態において、マスク材料がSOIウェハを覆うように堆積され、適当なトランジスタゲートスタックを露出させるようにマスク材料をパターニングするために、従来からのフォトリソグラフィ技術が使用され得る。
そして、ソース領域及びドレイン領域が形成されるべき領域に相当する、スペーサに隣接する薄いシリコン層の少なくとも一部を除去するために、等方性エッチングプロセスが実行される(段階408)。本発明の実施形態において、この等方性エッチングプロセスは、シリコンを下方に、SOIウェハのBOX層に至るまで除去する。この等方性エッチングプロセスはまた、スペーサの下にアンダーカット領域を形成する。
本発明の一部の実施形態において、この等方性エッチングプロセスは、六フッ化硫黄(SF)及び/又は三フッ化窒素(NF)を用いるドライエッチングプロセスにより実行されてもよい。代替的な実施形態においては、例えば水酸化テトラメチルアンモニウム(TMAH)等の材料を用いるウェットエッチングプロセスが用いられてもよい。当業者に認識されるように、これらに代わる等方性エッチングプロセスが用いられてもよい。
図7は、エッチングされたソース領域及びドレイン領域を有するSOIウェハ500を例示している。図示されるように、一方のスペーサ606の下のアンダーカット領域702を含む第1のキャビティ領域700が、下方に、BOX層502まで形成されている。アンダーカット領域702の内側の端部は、横方向に、トランジスタゲートスタック600の端部704に接近している。同様に、他方のスペーサ606の下のアンダーカット領域708を含む第2のキャビティ領域706が、下方に、BOX層502まで形成されている。アンダーカット領域708の内側の端部は、横方向に、トランジスタゲートスタック600の端部710に接近している。薄いシリコン層504の残存する部分は、MOSトランジスタのチャネル領域を形成する。以下では、この領域をチャネル領域712と呼ぶ。
次に、BOX層のうちの、等方性エッチングプロセス中に形成されたキャビティ領域の下の部分を除去するために、異方性エッチングプロセスが実行される(段階410)。本発明の実施形態において、この異方性エッチングプロセスはBOX層を、下方に、SOIウェハのバルクシリコン基板まで除去する。一実施形態において、この異方性エッチングプロセスは、Cガスを用いるドライエッチングプロセスにより実行されてもよい。当業者に認識されるように、これに代わる異方性エッチングプロセスが用いられてもよい。
図8は、エッチングされたBOX層502を有するSOIウェハ500を例示している。以下では、エッチングされたBOX層をBOX領域800と呼ぶ。図示されるように、第1のキャビティ領域700及び第2のキャビティ領域706は、この段階では、BOX層502のエッチングによって残された間隙(void)を組み込んでいる。BOX層502を除去するために使用されたエッチングプロセスは異方性プロセスであるため、チャネル領域712の下のBOX層502の部分(すなわち、BOX領域800)は実質的に除去されていない。さらに、図8に示されるように、BOX層502の部分を除去する異方性エッチングプロセスは、STI構造508の部分をも除去し得る。
そして、第1のキャビティ及び第2のキャビティを、トランジスタのチャネル領域に歪みを与えることが可能な適切なシリコン合金(例えば、Si)で充填するように、堆積プロセスが実行される(段階412)。堆積されたシリコン合金はMOSトランジスタのソース領域及びドレイン領域を形成する。一実施形態において、第1及び第2のキャビティをシリコン合金で充填するように、エピタキシャル堆積プロセスが用いられ得る。他の実施形態においては、キャビティ内にシリコン合金を堆積させるために、例えば物理的気相堆積法、化学的気相堆積法、又は原子層堆積法などの代替的な堆積プロセスが用いられてもよい。更なる実施形態においては、ソース領域及びドレイン領域として機能することが可能であり且つチャネル領域に歪みを与えることが可能な非シリコン合金材料が用いられてもよい。
PMOSトランジスタが形成されようとする場合、第1及び第2のキャビティ内に堆積されるシリコン合金は、トランジスタのチャネル領域に圧縮歪みを与える材料とし得る。一部の実施形態において、シリコンゲルマニウム(SiGe)合金が用いられ得る。P型ドープト領域を形成するようにシリコン合金に例えばボロン又はアルミニウム等のドープ剤を導入するため、シリコン合金の堆積とともにその場(in-situ)ドーピングプロセスが実行されてもよい。
NMOSトランジスタが形成されようとする場合、第1及び第2のキャビティ内に堆積されるシリコン合金は、トランジスタのチャネル領域に引張歪みを与える材料とし得る。一部の実施形態において、シリコンカーバイド(SiC)合金が用いられ得る。この場合も、N型ドープト領域を形成するようにシリコン合金に例えばヒ素、リン及び/又はアンチモン等のドープ剤を導入するため、シリコン合金の堆積中にその場ドーピングプロセスが実行されてもよい。
本発明の実施形態において、シリコン合金の堆積は、隆起したソース領域及びドレイン領域が形成されるまで続けられる。隆起ソース領域及び隆起ドレイン領域は技術的に周知であり、チャネル領域の頂面と同一平面にない頂面を有するシリコン合金領域から成る。隆起ソース領域及び隆起ドレイン領域は、チャネル領域の頂面の上方まで延在し、それにより、これらソース領域及びドレイン領域がチャネル領域と同じ高さの方向からに加えて、チャネル領域の上方からも歪みを与えることを可能にする。
図9は、隆起ソース領域900及び隆起ドレイン領域902を例示している。上述のように、ソース及びドレイン領域900/902は、先ほどまで充填されていなかった第1及び第2のキャビティ領域700/708内にシリコン合金を堆積することによって形成される。PMOSトランジスタでは、ソース及びドレイン領域900/902は概してSiGe材料から成る。NMOSトランジスタでは、ソース及びドレイン領域900/902は概してSiC材料から成る。図示されるように、ソース及びドレイン領域900/902の頂面904は、チャネル領域712の頂面906に対して隆起している。隆起したソース及びドレイン領域の堆積により、実質的に完全なMOSトランジスタ908が形成されている。当業者に認識されるように、MOSトランジスタ908を完全に動作可能なものにするために、例えばソース及びドレイン領域900/902への電気コンタクト等の更なる要素が形成され得る。
本発明のソース及びドレイン領域900/902は、従来のソース及びドレイン領域に対する幾つかの利点をもたらす。BOX層502の部分の除去により、ソース及びドレイン領域900/902は、チャネル領域712の上方に延在するだけでなくチャネル領域712の下方にも延在する。これは、本発明のソース及びドレイン領域900/902がチャネル領域712の上方、同一高さ、及び下方から歪みを印加することを可能にし、それにより、印加される歪みが全体として向上される。そして、ソース及びドレイン領域900/902はバルクシリコン基板506まで延在しているので、従来のソース及びドレイン領域より大きい。これは、本発明のソース及びドレイン領域900/902が電流のために一層大きい空間を提供することを可能にし、それにより、MOSトランジスタ908の電気抵抗が全体として低減される。
さらに、図9に示されるように、本発明のソース及びドレイン領域900/902は、トランジスタゲートスタック600の端部近傍では浅いが、STI構造508の隣では依然として大きい深さをもたらす。トランジスタゲートスタック600の端部近傍で浅いことは、アンダーカット領域702/708の頂端部で空乏層が形成されることを防止し、それにより、ゲート端部の接合寄生キャパシタンスが低減されるとともに、SCEが改善される。ソース領域900とドレイン領域902との間にBOX領域800が存在することは、ゲート端部の接合寄生キャパシタンスを低減させることに寄与する。BOX領域800上には空乏層が形成されないからである。言い換えると、アンダーカットは深いものであるが、チャネル領域712の何れの側にも、ゲート端部の接合寄生キャパシタンスに寄与する長い縦方向の壁は形成されない。一方、STI構造508の隣での大きい深さは、サリサイドスパイク不良のおそれを最小化する。
故に、当業者に認識されるように、トランジスタゲートスタック600に近接して浅くし、且つSTI構造508に隣接して深くすることが可能なことは、サリサイドスパイク不良から保護しながら、ソース/ドレイン直列抵抗、電子/正孔移動度、及びSCEを別々に最適化するための更なる自由度をもたらす。これは、比較的短いゲート長を有する高性能MOSデバイスの製造を可能にする。本発明の実施形態に従ってMOSトランジスタ908が形成されると、その後は標準CMOSフローが使用され得る。
例示された本発明の実施形態の以上の説明は、要約に記載されたことを含めて、網羅的なものでも、本発明を開示されたそのままの形態に限定するものでもない。本発明の具体的な実施形態及び例は、ここでは例示目的で説明されており、当業者に認識されるように、本発明の範囲内で様々な等価な変更が為され得る。
これらの変更が本発明に為され得るのは以上の説明を受けてのことである。特許請求の範囲で使用される用語は、本発明を明細書及び請求項にて開示された具体的な実施形態に限定するように解釈されるべきではない。むしろ、本発明の範囲はもっぱら、確立されたクレーム解釈の原則に従って解釈される請求項によって決定されるべきものである。
バルクシリコン基板上に構築された従来のMOSトランジスタを例示する図である。 SOI基板上に構築された従来のMOSトランジスタを例示する図である。 シリサイドスパイク不良を有する従来のMOSトランジスタを例示する図である。 本発明の一実施形態に従ったトランジスタを形成する方法を示す図である。 図4の方法を実行するときに形成される構造を例示する図である。 図4の方法を実行するときに形成される構造を例示する図である。 図4の方法を実行するときに形成される構造を例示する図である。 図4の方法を実行するときに形成される構造を例示する図である。 図4の方法を実行するときに形成される構造を例示する図である。

Claims (21)

  1. ゲート誘電体上に配置されたゲート電極を有するゲートスタック;
    前記ゲートスタックの両側面に形成された、第1のスペーサ及び第2のスペーサ;
    前記第1のスペーサに近接するソース領域;
    前記第2のスペーサに近接するドレイン領域;
    前記ゲートスタックの下に位置し、且つ前記ソース領域及び前記ドレイン領域の側面に位置するチャネル領域;及び
    前記チャネル領域の下に位置し、且つ前記ソース領域及び前記ドレイン領域の側面に位置する埋め込み酸化物領域;
    を有する装置。
  2. 前記ゲート電極は、銅、ルテニウム、パラジウム、白金、コバルト、ニッケル、ルテニウム酸化物、タングステン、アルミニウム、チタン、タンタル、チタン窒化物、タンタル窒化物、ハフニウム、ジルコニウム、金属炭化物、及び導電性金属酸化物、の少なくとも1つを有する、請求項1に記載の装置。
  3. 前記ゲート誘電体は、ハフニウム酸化物、ハフニウムシリコン酸化物、ハフニウムシリコン酸窒化物、ランタン酸化物、ジルコニウム酸化物、ジルコニウムシリコン酸化物、タンタル酸化物、チタン酸化物、バリウムストロンチウムチタン酸化物、BST、バリウムチタン酸化物、ストロンチウムチタン酸化物、イットリウム酸化物、アルミニウム酸化物、鉛スカンジウムタンタル酸化物、鉛亜鉛ニオブ酸塩、及びPZT、から成るグループから選択されたhigh−k誘電体を有する、請求項2に記載の装置。
  4. 前記ソース領域及び前記ドレイン領域は、隆起ソース領域及び隆起ドレイン領域を有する、請求項1に記載の装置。
  5. 前記ソース領域及び前記ドレイン領域はシリコンゲルマニウムを有する、請求項1に記載の装置。
  6. 前記ソース領域及び前記ドレイン領域はシリコンカーバイドを有する、請求項1に記載の装置。
  7. 前記ソース領域及び前記ドレイン領域は、ボロン、アルミニウム、ヒ素、リン、及びアンチモンのうちの少なくとも1つでドープされている、請求項5又は6に記載の装置。
  8. 前記ソース領域及び前記ドレイン領域は、前記チャネル領域に圧縮歪みを与えることが可能なシリコン合金を有する、請求項1に記載の装置。
  9. 前記ソース領域及び前記ドレイン領域は、前記チャネル領域に引張歪みを与えることが可能なシリコン合金を有する、請求項1に記載の装置。
  10. 前記ソース領域は、前記第1のスペーサの下に位置し且つ横方向で前記ゲートスタックの側面に近接する第1のアンダーカット領域を含み、前記ドレイン領域は、前記第2のスペーサの下に位置し且つ横方向で前記ゲートスタックの側面に近接する第2のアンダーカット領域を含む、請求項1に記載の装置。
  11. 前記埋め込み酸化物領域は、二酸化シリコン、炭素ドープ酸化物、有機高分子、PFCB、オキシナイトライド、及びFSG、から成るグループから選択された酸化物を有する、請求項1に記載の装置。
  12. 前記埋め込み酸化物領域は窒素ドープされている、請求項11に記載の装置。
  13. 第1のシリコン層及び第2のシリコン層との間に配置された酸化物層を含むSOI基板を準備する工程;
    前記第1のシリコン層上にトランジスタゲートスタックを形成する工程;
    前記トランジスタゲートスタックの両側面に一対のスペーサを形成する工程;
    前記一対のスペーサに隣接する前記第1のシリコン層の露出部分を、前記酸化物層が露出されるまで、等方性エッチングする工程であり、前記トランジスタゲートスタックの下にチャネル領域を形成する工程;
    前記酸化物層の露出部分を、前記第2のシリコン層が露出されるまで、異方性エッチングする工程であり、前記チャネル領域の下に埋め込み酸化物領域を形成する工程;及び
    ソース領域及びドレイン領域を形成するように、前記第2のシリコン層の露出部分上にシリコン合金を堆積する工程;
    を有する方法。
  14. 前記等方性エッチングする工程は、前記一対のスペーサの下に位置し且つ横方向で前記トランジスタゲートスタックの側面に近接する一対のアンダーカット領域を形成する、請求項13に記載の方法。
  15. 前記酸化物層は、二酸化シリコン、炭素ドープ酸化物、有機高分子、PFCB、オキシナイトライド、及びFSG、から成るグループから選択された酸化物を有する、請求項13に記載の方法。
  16. 前記ソース領域及び前記ドレイン領域は、前記チャネル領域及び前記埋め込み酸化物領域によって隔てられる、請求項13に記載の方法。
  17. 前記シリコン合金を堆積する工程はシリコンゲルマニウムを堆積する工程を有する、請求項13に記載の方法。
  18. 前記シリコンゲルマニウムを堆積する工程は、シリコンゲルマニウムをボロン及びアルミニウムのうちの少なくとも1つでドープするその場ドーピングプロセスを含む、請求項17に記載の方法。
  19. 前記シリコン合金を堆積する工程はシリコンカーバイドを堆積する工程を有する、請求項13に記載の方法。
  20. 前記シリコンカーバイドを堆積する工程は、シリコンカーバイドをヒ素、リン、及びアンチモンのうちの少なくとも1つでドープするその場ドーピングプロセスを含む、請求項19に記載の方法。
  21. 前記シリコン合金は、隆起ソース領域及び隆起ドレイン領域を形成するように堆積される、請求項17又は19に記載の方法。
JP2008545707A 2005-12-14 2006-12-06 ソース領域とドレイン領域との間にボックス層を有する歪みシリコンmosデバイス Expired - Fee Related JP5122476B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/304,351 US7422950B2 (en) 2005-12-14 2005-12-14 Strained silicon MOS device with box layer between the source and drain regions
US11/304,351 2005-12-14
PCT/US2006/047139 WO2007102870A2 (en) 2005-12-14 2006-12-06 Strained silicon mos device with box layer between the source and drain regions

Publications (2)

Publication Number Publication Date
JP2009519610A true JP2009519610A (ja) 2009-05-14
JP5122476B2 JP5122476B2 (ja) 2013-01-16

Family

ID=38139923

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008545707A Expired - Fee Related JP5122476B2 (ja) 2005-12-14 2006-12-06 ソース領域とドレイン領域との間にボックス層を有する歪みシリコンmosデバイス

Country Status (7)

Country Link
US (1) US7422950B2 (ja)
JP (1) JP5122476B2 (ja)
KR (1) KR101124920B1 (ja)
CN (1) CN101292334B (ja)
DE (1) DE112006003402B4 (ja)
TW (1) TWI327777B (ja)
WO (1) WO2007102870A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011035393A (ja) * 2009-07-29 2011-02-17 Internatl Business Mach Corp <Ibm> 埋め込み拡張領域を有するsoiトランジスタ、及びその形成方法
JP2013524511A (ja) * 2010-04-02 2013-06-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 強誘電性電界効果トランジスタデバイス

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7465972B2 (en) * 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US7422950B2 (en) 2005-12-14 2008-09-09 Intel Corporation Strained silicon MOS device with box layer between the source and drain regions
US7323392B2 (en) * 2006-03-28 2008-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
KR100725376B1 (ko) * 2006-07-31 2007-06-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
DE102008049733B3 (de) * 2008-09-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand zum Kanalgebiet und Verfahren zur Herstellung des Transistors
US8384145B2 (en) * 2009-02-03 2013-02-26 International Business Machines Corporation Non-volatile memory device using hot-carrier injection
US8242559B2 (en) * 2009-04-13 2012-08-14 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with a floating dielectric region and method of manufacture thereof
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
US8283217B2 (en) * 2010-03-04 2012-10-09 International Business Machines Corporation Prevention of oxygen absorption into high-K gate dielectric of silicon-on-insulator based finFET devices
CN102237396B (zh) * 2010-04-27 2014-04-09 中国科学院微电子研究所 半导体器件及其制造方法
US8716798B2 (en) 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US8431995B2 (en) * 2010-05-13 2013-04-30 International Business Machines Corporation Methodology for fabricating isotropically recessed drain regions of CMOS transistors
CN102842493A (zh) * 2011-06-20 2012-12-26 中国科学院微电子研究所 一种半导体结构及其制造方法
CN102856197A (zh) * 2011-06-27 2013-01-02 中国科学院微电子研究所 一种半导体结构及其制造方法
KR101865754B1 (ko) * 2011-07-01 2018-06-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN102637687B (zh) * 2011-10-17 2015-06-17 上海华力微电子有限公司 基于埋层n型阱的异质结1t-dram结构及其制备方法
CN102543882B (zh) * 2011-11-08 2015-01-21 上海华力微电子有限公司 形成绝缘体上碳硅-锗硅异质结1t--dram结构的方法及形成结构
CN102412204A (zh) * 2011-11-30 2012-04-11 上海华力微电子有限公司 单晶体管dram及其制备方法
CN103681499B (zh) * 2012-09-12 2017-08-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103779219B (zh) * 2012-10-22 2016-08-31 中芯国际集成电路制造(上海)有限公司 半导体器件及半导体器件的制造方法
CN102931092A (zh) * 2012-10-26 2013-02-13 哈尔滨工程大学 一种自对准soi fd mosfet形成方法
US10361270B2 (en) * 2013-11-20 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire MOSFET with different silicides on source and drain
FR3025941A1 (fr) * 2014-09-17 2016-03-18 Commissariat Energie Atomique Transistor mos a resistance et capacites parasites reduites
JP2017037957A (ja) * 2015-08-10 2017-02-16 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
FR3040538A1 (fr) 2015-08-24 2017-03-03 St Microelectronics Crolles 2 Sas Transistor mos et son procede de fabrication
US9893060B2 (en) * 2015-12-17 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9853127B1 (en) 2016-06-22 2017-12-26 International Business Machines Corporation Silicidation of bottom source/drain sheet using pinch-off sacrificial spacer process
US11264477B2 (en) * 2019-09-23 2022-03-01 Globalfoundries U.S. Inc. Field-effect transistors with independently-tuned threshold voltages
CN112765922B (zh) * 2020-12-31 2024-04-19 中国科学院上海微系统与信息技术研究所 采用soi衬底的射频晶体管的仿真模型

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005019799A (ja) * 2003-06-27 2005-01-20 Fujitsu Ltd 半導体装置及びその製造方法
WO2005112577A2 (en) * 2004-05-14 2005-12-01 Applied Materials, Inc. Methods to fabricate mosfet devices using selective deposition processes
WO2006052379A1 (en) * 2004-11-10 2006-05-18 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
WO2007034553A1 (ja) * 2005-09-22 2007-03-29 Fujitsu Limited 半導体装置およびその製造方法
JP2008527692A (ja) * 2005-01-03 2008-07-24 フリースケール セミコンダクター インコーポレイテッド リセス型ソース/ドレイン領域をsoiウェハに含む半導体形成プロセス
JP2009514249A (ja) * 2005-10-31 2009-04-02 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 薄層soiトランジスタに埋め込まれた歪み層ならびにその形成法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100246602B1 (ko) * 1997-07-31 2000-03-15 정선종 모스트랜지스터및그제조방법
US6495402B1 (en) * 2001-02-06 2002-12-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device having source/drain silicon-germanium regions and method of manufacture
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7195963B2 (en) * 2004-05-21 2007-03-27 Freescale Semiconductor, Inc. Method for making a semiconductor structure using silicon germanium
US7518196B2 (en) * 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7422950B2 (en) 2005-12-14 2008-09-09 Intel Corporation Strained silicon MOS device with box layer between the source and drain regions

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005019799A (ja) * 2003-06-27 2005-01-20 Fujitsu Ltd 半導体装置及びその製造方法
WO2005112577A2 (en) * 2004-05-14 2005-12-01 Applied Materials, Inc. Methods to fabricate mosfet devices using selective deposition processes
WO2006052379A1 (en) * 2004-11-10 2006-05-18 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
JP2008520097A (ja) * 2004-11-10 2008-06-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 歪み完全空乏型シリコン・オン・インシュレータ半導体デバイスおよびこの製造方法
JP2008527692A (ja) * 2005-01-03 2008-07-24 フリースケール セミコンダクター インコーポレイテッド リセス型ソース/ドレイン領域をsoiウェハに含む半導体形成プロセス
WO2007034553A1 (ja) * 2005-09-22 2007-03-29 Fujitsu Limited 半導体装置およびその製造方法
JP2009514249A (ja) * 2005-10-31 2009-04-02 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 薄層soiトランジスタに埋め込まれた歪み層ならびにその形成法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011035393A (ja) * 2009-07-29 2011-02-17 Internatl Business Mach Corp <Ibm> 埋め込み拡張領域を有するsoiトランジスタ、及びその形成方法
JP2013524511A (ja) * 2010-04-02 2013-06-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 強誘電性電界効果トランジスタデバイス

Also Published As

Publication number Publication date
CN101292334A (zh) 2008-10-22
DE112006003402B4 (de) 2010-01-28
TWI327777B (en) 2010-07-21
CN101292334B (zh) 2012-04-25
JP5122476B2 (ja) 2013-01-16
KR101124920B1 (ko) 2012-03-27
WO2007102870A3 (en) 2007-12-06
DE112006003402T5 (de) 2008-10-23
TW200742073A (en) 2007-11-01
WO2007102870A2 (en) 2007-09-13
US7422950B2 (en) 2008-09-09
KR20080069683A (ko) 2008-07-28
US20070134859A1 (en) 2007-06-14

Similar Documents

Publication Publication Date Title
JP5122476B2 (ja) ソース領域とドレイン領域との間にボックス層を有する歪みシリコンmosデバイス
US9929269B2 (en) FinFET having an oxide region in the source/drain region
US8106456B2 (en) SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US8487354B2 (en) Method for improving selectivity of epi process
US7381649B2 (en) Structure for a multiple-gate FET device and a method for its fabrication
US8120073B2 (en) Trigate transistor having extended metal gate electrode
US8828832B2 (en) Strained structure of semiconductor device
US7435657B2 (en) Method of fabricating transistor including buried insulating layer and transistor fabricated using the same
US7141476B2 (en) Method of forming a transistor with a bottom gate
US8253177B2 (en) Strained channel transistor
KR101474100B1 (ko) 수직형 파워 mos 트랜지스터를 갖는 집적 회로
US20140001561A1 (en) Cmos devices having strain source/drain regions and low contact resistance
EP2180504A2 (en) Strained Semiconductor Device and Method of Making the Same
US7670914B2 (en) Methods for fabricating multiple finger transistors
KR101808919B1 (ko) 도핑된 격리 절연 층을 갖는 finfet을 제조하기 위한 방법
US20080064173A1 (en) Semiconductor device, cmos device and fabricating methods of the same
WO2005122276A1 (ja) 半導体装置及びその製造方法
WO2007037847A1 (en) Methods for fabrication of a stressed mos device
CN107346782B (zh) 鳍型场效应晶体管及其制造方法
US11901235B2 (en) Ion implantation for nano-FET
KR101129835B1 (ko) 반도체 소자 및 그 제조 방법
CN107919393B (zh) 一种半导体器件及其制造方法
CN111668309A (zh) 具有扩散阻挡间隙件部分的场效应晶体管
US20150021714A1 (en) Integrated circuits having a metal gate structure and methods for fabricating the same

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120820

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121024

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151102

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5122476

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees