JP2008520097A - 歪み完全空乏型シリコン・オン・インシュレータ半導体デバイスおよびこの製造方法 - Google Patents

歪み完全空乏型シリコン・オン・インシュレータ半導体デバイスおよびこの製造方法 Download PDF

Info

Publication number
JP2008520097A
JP2008520097A JP2007541196A JP2007541196A JP2008520097A JP 2008520097 A JP2008520097 A JP 2008520097A JP 2007541196 A JP2007541196 A JP 2007541196A JP 2007541196 A JP2007541196 A JP 2007541196A JP 2008520097 A JP2008520097 A JP 2008520097A
Authority
JP
Japan
Prior art keywords
drain
spacer
outside
source
insulator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007541196A
Other languages
English (en)
Other versions
JP2008520097A5 (ja
Inventor
シャン キ
サッバ ニラジ
ピー. マスザラ ウィトールド
クリボカピク ゾラン
リン ミン−レン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2008520097A publication Critical patent/JP2008520097A/ja
Publication of JP2008520097A5 publication Critical patent/JP2008520097A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78639Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device with a drain or source connected to a bulk conducting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

絶縁体(104)上に半導体層(106)を備える、その上に絶縁体(104)を有する半導体基板(102)を提供する。半導体層(106)に歪みを引き起こす深いトレンチ分離(108)を形成する。半導体層(106)上にゲート絶縁体(202)およびゲート(204)を形成する。ゲート(204)の周りにスペーサ(304)を形成し、スペーサ(304)の外側の半導体層(106)および絶縁体(104)を除去する。スペーサ(304)の外側にくぼんだソース/ドレイン(402)を形成する。

Description

本発明は概して、シリコン・オン・インシュレータ半導体デバイスに関し、より詳しくは、完全空乏型シリコン・オン・インシュレータ・トランジスタに関する。
現在、生活のほとんどあらゆる面で電子製品が使用されており、これらの電子製品の中核をなすものが集積回路である。集積回路は、航空機やテレビ受像機から腕時計まであらゆるものに使用されている。
半導体ウェーハ完成品を製造するために、数百、場合によっては、数千もの精密制御プロセスを調整する必要がある極めて複雑なシステムによって、シリコンウェーハおよびシリコンウェーハ上に集積回路が作られる。半導体ウェーハ完成品の各々は、数百から数万の集積回路を有し、各々には数百または数千ドルの価値がある。
集積回路は、数百または数百万の個々のコンポーネントから構成されている。1つの一般的なコンポーネントは、半導体トランジスタである。現在使用されている最も一般的かつ重要な半導体技術はシリコンベースのものであり、最も好ましいシリコンベースの半導体デバイスは、相補型金属酸化膜半導体(CMOS:Complementary Metal Oxide Semiconductor)トランジスタである。
CMOSトランジスタの主要な要素は一般的に、トランジスタ部分を遮断する浅いトレンチ酸化分離領域を有するシリコン基板からなる。トランジスタ部分は、シリコン基板上に、酸化シリコンゲート上のポリシリコンゲート、いわゆるゲート酸化物を含む。ポリシリコンゲートの両側のシリコン基板は、導電性になるようにわずかにドープされる。
これらのシリコン基板の低ドープ領域を、「浅いソース/ドレイン」と呼び、これは、ポリシリコンゲート下方のチャネル領域によって分離される。ポリシリコンゲートの側部にある「側壁スペーサ」と呼ばれる湾曲した酸化シリコンまたは窒化シリコンスペーサにより、さらなるドーピングがたい積して、「深いS/D」と呼ばれる浅いソース/ドレイン(S/D)のより高ドープの領域を形成できる。
トランジスタを完成するために、ポリシリコンゲート、湾曲スペーサ、およびシリコン基板を覆うように酸化シリコン誘電体層がたい積される。トランジスタに電気的接続を与えるために、酸化シリコン誘電体層において、ポリシリコンゲートおよびS/Dまで開口部がエッチングされる。
この開口部は、金属で充填されて電気コンタクトを形成する。集積回路を完成するために、コンタクトは、誘電材料の外側へのさらなる誘電材料レベルにあるさらなる配線レベルに接続される。
CMOSトランジスタに対する改善の1つは、シリコン・オン・インシュレータ(”SOI”)と呼ばれる絶縁基板を使用する。CMOSおよび高速の電界効果トランジスタ(”FET”)において絶縁基板を使用するという利点は、ラッチアップ耐力(latchup immunity)、耐放射線性、寄生接合容量の減少、接合部の漏れ電流の減少、および短チャネル効果の減少を含む。これらの利点の多くはFETの速度性能を増加する。
SOI・FETは、シリコンのような半導体基板上の二酸化シリコンのような絶縁体で製造される。
そのソース接合部、チャネル、ドレイン接合部、ゲート、オーム接触および配線チャネルを含んだFET全体は、絶縁体中のシリコンアイランド上に形成され、所定の固定電位から絶縁される。
これにより、本体(ボディ)またはチャネル領域の電位がフロート状態となり、FETの正しい機能を阻害する可能性のある電位となり得るので、「フローティングボディ」問題と呼ばれるものに帰着してしまう。
チャネルに対して半導体基板がフロートしているため、このフローティングボディ問題は、高い漏れ電流および寄生バイポーラ効果(parasitic bipolar action)を引き起こす。この問題は、スレッショルド電圧の制御や回路動作に悪影響を及ぼす。
フローティングボディ問題を解決するには、シリコンアイランドを完全に空乏化することが必要である。このことは、シリコンアイランドを非常に薄くするので、FETがオフ状態かつ両方の接合部が接地されているとき、ボディ領域の厚み全体にわたって多数キャリアが空乏化することを意味する。
シリコンアイランドを完全に空乏化し、かつ完全に空乏化されたシリコン・オン・インシュレータ(”FDSOI”)を形成するために、シリコンアイランドは極薄でなければならないことが知られている。
しかしながら、薄いシリコンアイランドにすると、低い寄生抵抗を有するソースおよびドレインの形成における、FDSDI CMOSの製造における問題を引き起こす。
この解決策の1つとして、薄いシリコンアイランド上のソースおよびドレインの位置を高くする(raised)ことがあげられる。高くされたソースおよびドレインは、選択エピタキシャル成長(”SEG”)によって形成される。しかしながら、極薄のシリコンアイランド上に高品質な単結晶のソースおよびドレインを均一に成長させることは難しい。
さらに、酸化、前洗浄およびH2ベーキング(H2 baking)のようなSEGより前に実行されるプロセスは、SEGに必要とされる薄いシリコンのすべてまたは一部を除去し得る。
FDSDI CMOSの製造における他の主な問題は、性能を改善するためのメカニズムである。
性能を改善する方法の1つは、チャネルに引張歪みまたは圧縮歪みを引き起こす方法である。
電流の方向に沿った引張歪みは、電子および正孔移動度の双方を増加させる。他方、圧縮歪みは正孔移動度を増加させるが、電子移動度を低下させる。歪みは、トレンチ分離の充てん部を通じてチャネルに引き起こされる。
しかしながら、従来、トレンチのエッチングや充てんのないメサ分離がFDSDI CMOSに使用されている。
したがって、チャネルに歪みを引き起こす一方で、高品質な単結晶のソースおよびドレインを均一に成長させる方法が必要とされている。
長期にわたってこれらの問題の解決策が要求されているが、従来の研究開発では、何ら解決策が教示または提示されておらず、したがって、これらの問題の解決策は、当業者らが長い間成し遂げられなかったものである。
本発明は、絶縁体上に半導体層を備える、その上に絶縁体を有する半導体基板を提供する。半導体層に歪みを引き起こす深いトレンチ分離を形成する。ゲート絶縁体およびゲートを半導体層上に形成する。ゲートの周りにスペーサを形成し、スペーサの外側の半導体層および絶縁体を除去する。スペーサの外側に、くぼんだソース/ドレイン(リセス・ソース/ドレイン)を形成する。
本発明のいくつかの実施形態には、上述したものに加え、またはそれらの代わりに他の利点がある。これらの利点は、添付の図面を参照しながら以下の詳細な記載を読むことにより、当業者らに明らかになるであろう。
以下の記載において、本発明の完全な理解が得られるように、細部の説明を多数記載する。しかしながら、これらの詳細を用いずに本発明が実施できることが明らかであろう。本発明を不明瞭にしないためにも、いくつかの既知の構成およびプロセスステップは、詳細には開示していない。
同様に、デバイスの実施形態を示す図面は、部分的概略図であり、一定の縮尺で描かれたものではなく、特に、寸法の一部は明確に表すためのものであって、図面において誇張して表されたものもある。同一の番号は、すべての図面において、同一の要素に関して使用される。
本願明細書において使用される「水平(horizontal)」という用語は、基板またはウェーハに対して平行な面として定義される。「垂直(vertical)」という用語は、すでに定義した水平に対して垂直な方向をさす。「〜の上に(on)」、「〜の上方に(above)」、「〜の下方に(below)」、「下部(bottom)」、「上部(top)」、「側部(side)」(「側壁」のように)、「より高い(higher)」、「より低い(lower)」、「〜の上に(over)」、および「〜の下に(under)」などの用語は、水平面に対して定義される。
「処理する(processing)」という用語は、材料またはフォトレジストのたい積、または記載される構造を形成するのに必要な材料またはフォトレジストのパターニング、露出、形成、エッチング、洗浄、および(または)除去を含む。
図1は、完全空乏化されたシリコン・オン・インシュレータ(”FDSOI”)ウェーハ100の断面図である。このウェーハ100は、Pドープシリコン(””Si)のような材料からなる半導体基板102を含んでいる。
この半導体基板102の上面には、二酸化シリコン(”SiO2”)のような絶縁層である埋め込み酸化被膜(”BOX”)104、およびSiの薄層からなるチャネル層106が存在する。
25nm以下のゲート長で、45nm以下のノードの短チャネル効果を制御するためには、チャネル層106の厚みを100Åより薄くしなければならないことが発見されている。
くぼんだソース/ドレイン402(図4)の外側に配置された深いトレンチ分離(”DTI”))108は、FDSOIウェーハ100に追加される。
DTI108は、チャネル層106、BOX104を貫通し、基盤102中までエッチングする深いトレンチエッチングで形成される。
デバイス分離を維持するために、DTIの深さはくぼんだソース/ドレイン402(図4)よりも深くなければならない。
DTI108を完成するために、形成された深いトレンチは、SiO2のような材料からなる絶縁体で充填される。
図2は、SiO2、シリコン酸窒化物(”SiON”)、またはシリコン窒化物(”Si3N4”)のような材料からなるゲート絶縁体202、およびドープまたは非ドープのポリシリコンまたはアモルファス・シリコンのような材料からなるゲート204を形成する従来のたい積、パターニング、フォトリソグラフィ、およびエッチングを実行した後の、図1の構造を示している。
図3は、さらなる処理を行った後の、図2の構造を示している。
DTI108の凹部エッチングのため、DTI108中にスペーサが形成するように、ウェーハ100を処理する。SiO2のような材料からなるライナー302が、ゲート204、チャネル層106およびDTI108上にたい積される。Si3N4のような材料からなるスペーサ304が、ライナー302のゲート部分の周りおよびDTI108中に形成される。
FDSOI CMOSを製造するための主な問題点の中には、低い寄生抵抗を備えるソースおよびドレインの形成がある。
この解決策の1つは、ソースおよびドレインの位置を高くすることである。高くされたソースおよびドレインは、選択エピタキシャル成長(”SEG”)によって形成される。しかしながら、チャネル層106のような極薄のシリコンアイランド上に高品質な単結晶のソースおよびドレインを均一に成長させることは難しい。
さらに、酸化、前洗浄およびH2ベーキング(H2 baking)のようなSEGより前に実行されるプロセスは、SEGに必要とされる薄いシリコンのすべてまたは一部を除去してしまう可能性がある。
図4は、本発明の実施形態に従って処理した後の、図3の構造を示している。くぼんだソース/ドレイン402が、FDSOIウェーハ100に形成されている。チャネル層106は、チャネル404を形成すべく、エッチングされている。
くぼんだソース/ドレイン402を形成するために、ゲート204およびDTI108の間のチャネル層106およびBOX104を貫通するように、エッチングのような適切なプロセスが使用される。100Åから600Åの薄いBOX104が最適な厚みとなることが発見されている。
その後、基板102およびチャネル404の側壁上で、選択エピタキシャル成長(”SEG”)が実行される。
これにより、チャネル層106のシリコンが前処理によってその一部または全体が消費されてしまう場合であっても、くぼんだソース/ドレイン402のSEGにおける持続的な高品質のSi表面が保証される。
生成された構造は、薄いシリコン上のSEGの問題を克服する一方、低い寄生抵抗のような、高くされたソースおよびドレインの利点を有する。
この段階において、くぼんだソース/ドレイン402のSEGの変更を通じて性能が改善され得る。
図5は、本発明の実施例に従ってさらに処理した後の、図4の構造を示している。
NiSi層504を形成するために、ゲート204およびソース/ドレイン402上でシリサイド化が実行される。
くぼんだソース/ドレイン402およびDTI108を形成する順序は任意であり、上記の順序は単なる一例であることが理解されるであろう。
くぼんだソース/ドレイン402は、くぼんだソース/ドレイン402の選択エピタキシャル成長の間に、またはイオン注入および急速熱アニーリングによって、その場に形成することができる。
歪みを引き起こすためのトレンチ充てん絶縁体によって、DTI108は、チャネル404に歪みを引き起こし、トランジスタ間の分離に適している。
FDSOI CMOSデバイスのチャネルに引張歪みまたは圧縮歪みを引き起こすことは、性能を改善することになる。
電流の方向に沿った引張歪みは、電子および正孔移動度の双方を増加させる。他方、圧縮歪みは正孔移動度を増加させることにより、PMOSの性能を改善する。
したがって、チャネル404に適切に歪みを与えることは、チャネル移動度を著しく増加させ、結果的に、移動度利得により、主に駆動電流を増加させることになる。
シリコンゲルマニウム(SiGe)の選択エピタキシャル成長によって、FDSOI PMOSトランジスタにおける歪みがさらに改善され得ることが発見されている。
したがって、くぼんだソース/ドレイン402のSiGeは、FDSOI PMOSトランジスタのチャネル404中に歪みを効果的に引き起こす。
また、くぼんだソース/ドレイン402がチャネル404と直に隣接し、これにより高くされたソース/ドレイン中に引き起こされる歪みよりも歪みをより引き起こすことが可能になるので、歪みがより効果的に導入される。
さらに、炭化ケイ素(SiC)の選択エピタキシャル成長によってFDSOI NMOSトランジスタにおける歪みがさらに改善され得ることが発見されている。
したがって、くぼんだソース/ドレインのSiCは、FDSOI NMOSトランジスタのチャネル404中に歪みを効果的に引き起こす。
また、くぼんだソース/ドレイン402がチャネル404と直に隣接し、高くされたソース/ドレイン中に引き起こされる歪みよりもより多くの歪みを引き起こすことが可能になるので、歪みがより効果的に導入される。
上記の歪み制御は、DTI108がくぼんだソース/ドレイン402より前に形成される場合の主要な制御として、またはDTI108からの歪み制御に付帯して実行することができる。
図6は、本発明の他の実施形態に従ってさらに処理した後の、図5の構造を示す。エッチングにより、スペーサ304(図5)およびDTI108の絶縁体の充てん物が除去され、トレンチ602が形成されている。
エッチングの後、トレンチ602中およびソース/ドレイン402、ライナー302およびゲート204上にコンタクト・エッチング停止層604をたい積する。
トレンチ602中のコンタクト・エッチング停止層604は、チャネル404にさらなる歪みを引き起こす。
図7は、本発明に従って歪み完全空乏型シリコン・オン・インシュレータを製造する方法700のフローチャートを示す。
方法700は、絶縁体上に半導体層を備える、その上に絶縁体を有する半導体基板を提供するステップ(ステップ702)、前記半導体層上にゲート絶縁体およびゲートを形成するステップ(ステップ704)、スペーサの外側に、前記半導体層に歪みを引き起こす深いトレンチ分離を形成するステップ(ステップ706)、前記ゲートの周りにスペーサを形成するステップ(ステップ708)、前記スペーサの外側の半導体層および絶縁体を除去するステップ(ステップ710)、および前記スペーサの外側にくぼんだソース/ドレインを形成するステップ(ステップ712)を含んでいる。
このように、本発明の半導体デバイスの製造方法および装置は、重要な、従来公知でなく利用されていないFDSOI CMOSについての機能的な利点、解決方法および性能を提供することが発見された。そのプロセスおよび構成は簡単、経済的であり複雑ではなく、用途が広く、正確でセンシティブであり、効果的である。また、製造、適用および利用するのに既知のコンポーネントを適用することによって実施することができる。
特定のベストモードとともに本発明を記載してきたが、上述した記載を考慮しながら、多数の代替例、修正例、および変更例が当業者に明らかになるであろうことを理解されたい。したがって、特許請求の範囲の趣旨および範囲内のこのようなすべての代替例、修正例、および変更例を包含することが意図される。上記に記載し、または添付の図面に示したすべての事柄は、例示的かつ非制限的な意味で解釈されるべきである。
完全空乏型シリコン・オン・インシュレータ半導体ウェーハの断面図。 その上にゲートが形成された図1の構造を示す図。 その上にライナーおよびスペーサがたい積された図2の構造を示す図。 本発明の実施形態に従ってくぼんだソース/ドレインが形成された図3の構造を示す図。 本発明の実施形態に従ってシリサイド化した後の図4の構造を示す図。 本発明の他の実施形態に従ってコンタクト・エッチング停止層が形成された図5の構造を示す図。 本発明の実施形態に従って歪み完全空乏型シリコン・オン・インシュレータ半導体デバイスを製造する方法のフローチャート。

Claims (10)

  1. 絶縁体(104)上に半導体層(106)を備える、その上に絶縁体(104)を有する半導体基板(102)を提供するステップと、
    前記半導体層(106)に歪みを引き起こす深いトレンチ分離(108)を形成するステップと、
    前記半導体層(106)上にゲート絶縁体(202)およびゲート(204)を形成するステップと、
    前記ゲート(204)の周りにスペーサ(304)を形成するステップと、
    前記スペーサ(304)の外側の前記半導体層(106)および前記絶縁体(104)を除去するステップと、
    前記スペーサ(304)の外側にくぼんだソース/ドレイン(402)を形成するステップと、を有する、
    半導体デバイスを製造する方法(700)。
  2. 前記スペーサ(304)の外側にくぼんだソース/ドレイン(402)を形成するステップは、前記スペーサ(304)の外側に選択エピタキシャル成長によってくぼんだソース/ドレイン(402)を形成するステップをさらに含む、請求項1記載の方法(700)。
  3. 前記スペーサ(304)の外側にくぼんだソース/ドレイン(402)を形成するステップは、前記半導体層(106)に歪みを引き起こす、前記スペーサ(304)の外側にくぼんだ炭素ドープされたソース/ドレイン(402)を形成するステップをさらに含む、請求項1記載の方法(700)。
  4. 前記スペーサ(304)の外側にくぼんだソース/ドレイン(402)を形成するステップは、前記半導体層(106)に歪みを引き起こす、前記スペーサ(304)の外側にくぼんだシリコンゲルマニウム・ソース/ドレイン(402)を形成するステップをさらに含む、請求項1記載の方法(700)。
  5. 前記スペーサ(304)を除去するステップと、
    前記深いトレンチ分離(108)を除去し、トレンチ(602)を形成するステップと、
    前記トレンチ(602)中および前記ソース/ドレイン(402)と前記ゲート(204)上に、前記半導体層(106)に歪みを引き起こす層(604)をたい積するステップと、をさらに含む、請求項1記載の方法(700)。
  6. 絶縁体(104)上に半導体層(106)を備える、その上に絶縁体(104)を有する半導体基板(102)と、
    前記半導体層(106)上のゲート絶縁体(202)およびゲート(204)と、
    前記ゲート(204)の周りの任意のスペーサ(304)と、
    前記スペーサ(304)の外側のくぼんだソース/ドレイン(402)と、
    前記シリコン層に任意に歪みを引き起こす深いトレンチ分離(108)としての前記スペーサ(304)の外側に配置されるトレンチ(602)と、を含む、
    半導体デバイス。
  7. 前記スペーサ(304)の外側のくぼんだソース/ドレイン(402)は、選択エピタキシャル成長によって形成された前記スペーサ(304)の外側のくぼんだソース/ドレイン(402)をさらに含む、請求項6記載の半導体デバイス。
  8. 前記スペーサ(304)の外側のくぼんだソース/ドレイン(402)は、前記半導体層(106)に歪みを引き起こす、前記スペーサ(304)の外側のくぼんだ炭素ドープされたソース/ドレイン(402)をさらに含む、請求項6記載の半導体デバイス。
  9. 前記スペーサ(304)の外側のくぼんだソース/ドレイン(402)は、前記半導体層(106)に歪みを引き起こす、前記スペーサ(304)の外側のくぼんだシリコンゲルマニウム・ソース/ドレイン(402)をさらに含む、請求項6記載の半導体デバイス。
  10. 前記トレンチ(602)中および前記くぼんだソース/ドレイン(402)と前記ゲート(204)上に、前記半導体層(106)に歪みを引き起こす層をさらに含む、請求項6記載の半導体デバイス。
JP2007541196A 2004-11-10 2005-10-12 歪み完全空乏型シリコン・オン・インシュレータ半導体デバイスおよびこの製造方法 Pending JP2008520097A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/986,399 US7306997B2 (en) 2004-11-10 2004-11-10 Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
PCT/US2005/036894 WO2006052379A1 (en) 2004-11-10 2005-10-12 Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor

Publications (2)

Publication Number Publication Date
JP2008520097A true JP2008520097A (ja) 2008-06-12
JP2008520097A5 JP2008520097A5 (ja) 2009-02-12

Family

ID=35658988

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007541196A Pending JP2008520097A (ja) 2004-11-10 2005-10-12 歪み完全空乏型シリコン・オン・インシュレータ半導体デバイスおよびこの製造方法

Country Status (7)

Country Link
US (2) US7306997B2 (ja)
EP (1) EP1815531A1 (ja)
JP (1) JP2008520097A (ja)
KR (1) KR101122753B1 (ja)
CN (1) CN101061587B (ja)
TW (1) TWI380373B (ja)
WO (1) WO2006052379A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008527692A (ja) * 2005-01-03 2008-07-24 フリースケール セミコンダクター インコーポレイテッド リセス型ソース/ドレイン領域をsoiウェハに含む半導体形成プロセス
JP2009519610A (ja) * 2005-12-14 2009-05-14 インテル コーポレイション ソース領域とドレイン領域との間にボックス層を有する歪みシリコンmosデバイス
JP2011035393A (ja) * 2009-07-29 2011-02-17 Internatl Business Mach Corp <Ibm> 埋め込み拡張領域を有するsoiトランジスタ、及びその形成方法

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2872626B1 (fr) * 2004-07-05 2008-05-02 Commissariat Energie Atomique Procede pour contraindre un motif mince
JP2006165335A (ja) * 2004-12-08 2006-06-22 Toshiba Corp 半導体装置
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
JP2006332243A (ja) * 2005-05-25 2006-12-07 Toshiba Corp 半導体装置及びその製造方法
US7384851B2 (en) * 2005-07-15 2008-06-10 International Business Machines Corporation Buried stress isolation for high-performance CMOS technology
WO2007053382A1 (en) * 2005-10-31 2007-05-10 Advanced Micro Devices, Inc. An embedded strain layer in thin soi transistors and a method of forming the same
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7473593B2 (en) * 2006-01-11 2009-01-06 International Business Machines Corporation Semiconductor transistors with expanded top portions of gates
US7569434B2 (en) * 2006-01-19 2009-08-04 International Business Machines Corporation PFETs and methods of manufacturing the same
DE602006019940D1 (de) * 2006-03-06 2011-03-17 St Microelectronics Crolles 2 Herstellung eines flachen leitenden Kanals aus SiGe
US7613369B2 (en) * 2006-04-13 2009-11-03 Luxtera, Inc. Design of CMOS integrated germanium photodiodes
WO2007142239A1 (ja) 2006-06-08 2007-12-13 Nec Corporation 半導体装置
US8154051B2 (en) * 2006-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor with in-channel and laterally positioned stressors
JP2008071851A (ja) * 2006-09-13 2008-03-27 Sony Corp 半導体装置および半導体装置の製造方法
JP2008153515A (ja) * 2006-12-19 2008-07-03 Fujitsu Ltd Mosトランジスタ、そのmosトランジスタの製造方法、そのmosトランジスタを利用したcmos型半導体装置、及び、そのcmos型半導体装置を利用した半導体装置
US20080157118A1 (en) * 2006-12-29 2008-07-03 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing strained technology
US9640666B2 (en) * 2007-07-23 2017-05-02 GlobalFoundries, Inc. Integrated circuit employing variable thickness film
JP2009212413A (ja) * 2008-03-06 2009-09-17 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US8421050B2 (en) * 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
KR101592505B1 (ko) * 2009-02-16 2016-02-05 삼성전자주식회사 반도체 메모리 소자 및 이의 제조 방법
US7994062B2 (en) * 2009-10-30 2011-08-09 Sachem, Inc. Selective silicon etch process
CN102299092B (zh) * 2010-06-22 2013-10-30 中国科学院微电子研究所 一种半导体器件及其形成方法
CN102376769B (zh) * 2010-08-18 2013-06-26 中国科学院微电子研究所 超薄体晶体管及其制作方法
CN102487018B (zh) * 2010-12-03 2014-03-12 中芯国际集成电路制造(北京)有限公司 Mos晶体管及其形成方法
CN102122669A (zh) * 2011-01-27 2011-07-13 上海宏力半导体制造有限公司 晶体管及其制作方法
US8455308B2 (en) 2011-03-16 2013-06-04 International Business Machines Corporation Fully-depleted SON
US9184214B2 (en) * 2011-04-11 2015-11-10 Globalfoundries Inc. Semiconductor device exhibiting reduced parasitics and method for making same
US20120326230A1 (en) * 2011-06-22 2012-12-27 International Business Machines Corporation Silicon on insulator complementary metal oxide semiconductor with an isolation formed at low temperature
WO2013020576A1 (en) * 2011-08-05 2013-02-14 X-Fab Semiconductor Foundries Ag Semiconductor device
US9136158B2 (en) * 2012-03-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral MOSFET with dielectric isolation trench
US8664050B2 (en) 2012-03-20 2014-03-04 International Business Machines Corporation Structure and method to improve ETSOI MOSFETS with back gate
CN102931092A (zh) * 2012-10-26 2013-02-13 哈尔滨工程大学 一种自对准soi fd mosfet形成方法
CN103779279B (zh) * 2012-10-26 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9525027B2 (en) * 2014-03-13 2016-12-20 Globalfoundries Inc. Lateral bipolar junction transistor having graded SiGe base
FR3025941A1 (fr) * 2014-09-17 2016-03-18 Commissariat Energie Atomique Transistor mos a resistance et capacites parasites reduites
CN105632909B (zh) * 2014-11-07 2019-02-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9281305B1 (en) 2014-12-05 2016-03-08 National Applied Research Laboratories Transistor device structure
CN105742248A (zh) * 2014-12-09 2016-07-06 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US20230269061A1 (en) * 2022-02-18 2023-08-24 Psemi Corporation Lna with tx harmonic filter

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08264769A (ja) * 1995-03-20 1996-10-11 Nec Corp 半導体装置の製造方法
JPH11150266A (ja) * 1997-11-19 1999-06-02 Toshiba Corp 半導体装置及びその製造方法
JP2002083972A (ja) * 2000-09-11 2002-03-22 Hitachi Ltd 半導体集積回路装置
JP2002237590A (ja) * 2001-02-09 2002-08-23 Univ Tohoku Mos型電界効果トランジスタ
JP2003273240A (ja) * 2002-03-19 2003-09-26 Hitachi Ltd 半導体装置及びその製造方法
US20040188760A1 (en) * 2002-04-03 2004-09-30 Thomas Skotnicki Strained-channel isolated-gate field effect transistor, process for making same and resulting integrated circuit

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19533313A1 (de) 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
US6303448B1 (en) 1998-11-05 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for fabricating raised source/drain structures
US6339244B1 (en) 2000-02-22 2002-01-15 Advanced Micro Devices, Inc. Fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US6323104B1 (en) * 2000-03-01 2001-11-27 Micron Technology, Inc. Method of forming an integrated circuitry isolation trench, method of forming integrated circuitry, and integrated circuitry
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6558994B2 (en) 2001-03-01 2003-05-06 Chartered Semiconductors Maufacturing Ltd. Dual silicon-on-insulator device wafer die
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
KR100416627B1 (ko) * 2002-06-18 2004-01-31 삼성전자주식회사 반도체 장치 및 그의 제조방법
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
JP4546021B2 (ja) 2002-10-02 2010-09-15 ルネサスエレクトロニクス株式会社 絶縁ゲート型電界効果型トランジスタ及び半導体装置
US6902991B2 (en) 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
WO2004049406A1 (en) 2002-11-25 2004-06-10 International Business Machines Corporation Strained finfet cmos device structures
US6909186B2 (en) 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US8097924B2 (en) * 2003-10-31 2012-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US7037795B1 (en) * 2004-10-15 2006-05-02 Freescale Semiconductor, Inc. Low RC product transistors in SOI semiconductor process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08264769A (ja) * 1995-03-20 1996-10-11 Nec Corp 半導体装置の製造方法
JPH11150266A (ja) * 1997-11-19 1999-06-02 Toshiba Corp 半導体装置及びその製造方法
JP2002083972A (ja) * 2000-09-11 2002-03-22 Hitachi Ltd 半導体集積回路装置
JP2002237590A (ja) * 2001-02-09 2002-08-23 Univ Tohoku Mos型電界効果トランジスタ
JP2003273240A (ja) * 2002-03-19 2003-09-26 Hitachi Ltd 半導体装置及びその製造方法
US20040188760A1 (en) * 2002-04-03 2004-09-30 Thomas Skotnicki Strained-channel isolated-gate field effect transistor, process for making same and resulting integrated circuit

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008527692A (ja) * 2005-01-03 2008-07-24 フリースケール セミコンダクター インコーポレイテッド リセス型ソース/ドレイン領域をsoiウェハに含む半導体形成プロセス
JP2009519610A (ja) * 2005-12-14 2009-05-14 インテル コーポレイション ソース領域とドレイン領域との間にボックス層を有する歪みシリコンmosデバイス
JP2011035393A (ja) * 2009-07-29 2011-02-17 Internatl Business Mach Corp <Ibm> 埋め込み拡張領域を有するsoiトランジスタ、及びその形成方法

Also Published As

Publication number Publication date
EP1815531A1 (en) 2007-08-08
KR20070084008A (ko) 2007-08-24
WO2006052379A1 (en) 2006-05-18
US20060099752A1 (en) 2006-05-11
TWI380373B (en) 2012-12-21
US20080054316A1 (en) 2008-03-06
CN101061587A (zh) 2007-10-24
KR101122753B1 (ko) 2012-03-23
US7306997B2 (en) 2007-12-11
TW200620489A (en) 2006-06-16
US8502283B2 (en) 2013-08-06
CN101061587B (zh) 2011-01-12

Similar Documents

Publication Publication Date Title
KR101122753B1 (ko) 변형된 완전 공핍 실리콘-온-절연막 반도체 소자 및 그제조방법
US6835618B1 (en) Epitaxially grown fin for FinFET
US7745847B2 (en) Metal oxide semiconductor transistor
US8106456B2 (en) SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US7435639B2 (en) Dual surface SOI by lateral epitaxial overgrowth
US6515333B1 (en) Removal of heat from SOI device
US8541286B2 (en) Methods for fabricating integrated circuits
US6605514B1 (en) Planar finFET patterning using amorphous carbon
US20120276695A1 (en) Strained thin body CMOS with Si:C and SiGe stressor
US9634103B2 (en) CMOS in situ doped flow with independently tunable spacer thickness
US9502564B2 (en) Fully depleted device with buried insulating layer in channel region
US6864547B2 (en) Semiconductor device having a ghost source/drain region and a method of manufacture therefor
KR101336219B1 (ko) 매몰 도핑 층을 갖는 완전 공핍 soi 소자
KR100886708B1 (ko) Soi 소자 및 그의 제조방법
CN100449785C (zh) 半导体装置及半导体装置的制造方法
JP2012204838A (ja) 半導体装置
JP2002246601A (ja) 半導体装置及び半導体装置の製造方法
US20060068542A1 (en) Isolation trench perimeter implant for threshold voltage control
KR100486643B1 (ko) 모스전계효과 트랜지스터의 제조 방법
KR19990075417A (ko) 반도체장치의 제조 방법
US7749858B2 (en) Process for producing an MOS transistor and corresponding integrated circuit
CN114899143A (zh) Fdsoi源漏外延生长方法
JP2009252814A (ja) 半導体装置の製造方法及び半導体装置
KR20050050909A (ko) Soi 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081014

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081215

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100831

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120201

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120627