JP2009239300A - 陽極酸化処理された基板支持体 - Google Patents

陽極酸化処理された基板支持体 Download PDF

Info

Publication number
JP2009239300A
JP2009239300A JP2009149983A JP2009149983A JP2009239300A JP 2009239300 A JP2009239300 A JP 2009239300A JP 2009149983 A JP2009149983 A JP 2009149983A JP 2009149983 A JP2009149983 A JP 2009149983A JP 2009239300 A JP2009239300 A JP 2009239300A
Authority
JP
Japan
Prior art keywords
substrate
substrate support
support
region
microinches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009149983A
Other languages
English (en)
Inventor
Soo Young Choi
ヨン チョイ スー
Beom Soo Park
スー パーク ビオム
Quanyuan Shang
シャン クァンユアン
Robert I Greene
アイ. グリーネ ロバート
M White John
エム. ホワイト ジョン
Dong-Kil Yim
イム ドン−キル
Chung-Hee Park
パーク シュン−ヒー
Kam Law
ロウ カム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009239300A publication Critical patent/JP2009239300A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/16Pretreatment, e.g. desmutting
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/18After-treatment, e.g. pore-sealing

Abstract

【課題】基板支持体および該基板支持体を製造する為の方法が提供される。
【解決手段】本発明の一実施形態において、基板支持体は、電気的絶縁性被膜により覆われている基板支持面を有する伝導体を含む。基板支持面の中心にある被膜の少なくとも一部は、約80から約200ミクロインチ間の表面仕上げを有する。他の実施形態において、基板支持体は、メッキ処理されたアルミニウム本体を含み、そのアルミニウム本体は、上部に基板を支持するように適合された本体の一部に、約80から約200ミクロインチの表面仕上げ処理部を有する。
【選択図】図2

Description

本発明の実施形態は、概して、半導体処理で利用される基板支持体および当該基板支持体を製造する方法を提供する。
液晶ディスプレイ又はフラットパネルは、コンピュータ、テレビモニタ、パーソナル・デジタル・アシスタント(PDA)、携帯電話等のようなアクティブマトリックスディスプレイの為に共通して使用される。一般的に、フラットパネルは2つのガラス板を備え、これらに挟まれた液晶層を有する。少なくとも一つのガラス板は、上部に配置される少なくとも一つの導電性膜を含み、これが電源に結合される。電源から導電性膜に供給される電力は、結晶材料の配向性を変更し、当該ディスプレイに見られるテキストやグラフィクスのようなパターンを作り出す。フラットパネルを生産する為に頻繁に使用される、一つの製造方法は、プラズマ増強型化学気相堆積法(PECVD)である。
プラズマ増強型化学気相堆積法は、フラットパネルや半導体ウエハのような基板上に薄膜を堆積する為に一般的に使用される。プラズマ増強型化学気相堆積法は、基板を含む真空チャンバ内に前駆体ガスを導入することにより一般的に達成される。前駆体ガスは、通常、チャンバの最上部付近に置かれる分配用プレートを通って導かれる。チャンバ内の前駆体ガスは、チャンバに結合された1以上のRF電源からチャンバにRFエネルギを印加することにより、プラズマへと活性化される(励起される)。励起されたガスは、温度制御された基板支持体上に位置決めされた基板の表面上に材料層を形成する為に反応する。低温ポリシリコンの層を基板が受ける適用例において、基板支持体は、400℃を越える温度で加熱される可能性がある。この反応中に生み出される揮発性副産物は、排気システムを介してチャンバから送り出される。
一般的に、フラットパネル製造に利用される大領域基板は、大きく、しばしば550mm×650mmを越え、表面積では4m、それを越えるものまで構想されている。対応して、大領域基板を処理する為に利用される基板支持体は、大きな表面領域の基板に適合するように比例して大きくなる。高温使用の為の基板支持体は、一般的に鋳造され、1以上の加熱素子や熱電対がアルミニウム本体内に封入される。基板支持体の大きさのため、1以上の補強部材が一般的に基板支持体内に配置され、高温動作温度(すなわち、膜内の水素含有を最小にするため、350℃を越え500℃に近い温度)における基板支持体の剛性、性能を改善している。アルミニウム製基板支持体は、その後、陽極酸化処理され、保護被膜を提供する。
この方法で構成された基板支持体は、良好な処理性能を示すが、しばしば、より薄い膜厚のスポットとして明示されるが、膜厚における小さな局所的変動は、大領域基板上に形成された次世代デバイスにとって有害になる可能性があり、観察されてきた。変動は、基板支持面に沿った、ガラス膜厚と平坦性、通常、約50ミクロインチであるが、ガラス基板を横切る一定の場所で局所的容量変動を作り出し、それにより、堆積変動において生じる局所的なプラズマ非均一性(例えば、堆積された膜厚のスポット)を作り出すと考えられる。
処理の為に基板をチャンバに移送する前に、特に拡張チャンバ真空パージと組み合わされて実行されるとき、基板支持体のエージング及びプラズマ条件設定の修正により、薄いスポット形成を緩和することが示されてきた。しかし、この方法に必要な時間と材料の、結果として生じる消費や、コストと処理能力における不利な影響があるので、より効果的な解決策を得ることが望ましい。
次世代の基板の大きさが大きくなり続けるにつれて、各基板により表わされるフラットパネル製造業者による実質的な投資のため、欠陥減少の重要性は益々重要になっている。さらに、デバイスの継続的な進化と共に、膜の均一性の為の、より密接した許容範囲、膜厚変動の減少及び/又は除去を必要とする危急の寸法減少は、大領域基板上に形成される次世代デバイスの経済的生産にとって重要なファクタになる。
そのため、改善された基板支持体が必要である。
基板支持体と、当該基板支持体を製造する方法が提供される。本発明の一実施形態において、基板支持体は、電気的絶縁性被膜に覆われた基板支持面を有する電気的導電性本体を含む。基板支持面上の中央に置かれた被膜の少なくとも一部は、約80〜約200ミクロインチの間の表面仕上げを有する。他の実施形態において、基板支持体は、当該本体の一部が上部で基板を支持するように適合され、約80から約200ミクロインチの間の表面仕上げ処理部を有する陽極酸化処理されたアルミニウム本体を含む。
他の実施形態において、基板支持体は、以下の方法により製造される。この方法は、基板支持面で大領域基板を支持するのに適したアルミニウム本体を提供するステップと、約80から約200ミクロインチの間の表面粗さを有する陽極酸化処理された被膜を基板支持面上に形成するステップと、を含む。
簡単に説明された本発明の、より具体的な説明は、添付図面により例示された実施形態を参照される。しかし、添付図面は、本発明の、単なる典型的な実施形態を例示するにすぎず、本発明の範囲を限定するものではなく、本発明は他の効果的な実施形態を許容可能である。
理解を容易にするため、可能な限り、図で共通な同一要素を示すために同一参照符号を使用している。
図1は、本発明の基板支持用アセンブリを有する一実施形態に係る処理チャンバの概略断面図を示す。 図2は、他の実施形態に係る基板支持用アセンブリの部分的断面図である。 図3は、基板支持用アセンブリを製造する為の一実施形態に係る方法のフローチャートである。 図4は、基板支持用アセンブリを製造する為の他の実施形態に係る方法のフローチャートである。 図5は、他の実施形態に係る基板支持用アセンブリの一部断面図である。 他の実施形態に係る基板支持用アセンブリの一部断面図である。
本発明は、全体的に、大領域基板支持体と、その製造方法を提供する。本発明は、カリフォルニア州サンタクララ市のアプライドマテリアルズ社の一部門であるAKT社から利用可能な、プラズマ増強型化学気相堆積チャンバ(PECVD)のような、プラズマ増強型化学気相堆積チャンバを参照し、以下、例示的に説明する。しかし、本発明は、他のシステム(物理的気相堆積システム、イオン注入システム、エッチングシステム、他の化学気相堆積法および他のシステムであって、内部で基板支持体上の基板を処理することが望まれるもの)でも有用であることが分かる。
図1は、一実施形態に係るプラズマ増強型化学気相堆積システム100の横断面図である。当該システム100は、一般的に、ガス源104に結合されたチャンバ102を含む。チャンバ102は、壁106、底部108、リッドアセンブリ110であって、これらは、処理ボリュームを画成する。処理ボリューム112は、通常、壁106内のポート(図示せず)を介してアクセスされ、これが、チャンバ102の内外へ大領域のガラス基板140を移動させることを容易にする。壁106と底部108は、通常、アルミニウム製または処理と両立可能な他の材料であって単一ブロックから製造される。リッドアセンブリ110は、処理ボリューム112を(図示されていない様々なポンプ構成部品に結合された)排気用ポートに結合するポンプ用プレナム114を含む。
リッドアセンブリ110は、壁106により支持され、チャンバ102を修理するために除去される。リッドアセンブリ110は、一般的にアルミニウムで構成される。分配用プレート118は、リッドアセンブリ110の内側120に結合される。分配用プレート118は、通常、アルミニウムから製造される。中央区域は、穿孔領域であり、ここを通って、ガス源104からの処理ガスや他のガスが処理ボリューム112に分配される。分配用プレート118の穿孔領域は、分配用プレート118を通過してチャンバ102内に入るガスの均一な分配を提供するように構成されている。
加熱された基板支持用アセンブリ138は、チャンバ102内の中央に配置されている。支持用アセンブリ138は、大領域ガラス基板140(以下、「基板140」)を処理中に支持する。基板支持用アセンブリ138は、一般的に、基板140を支持する本体124の少なくとも一部を覆う電気的に絶縁性の被覆180で覆われた電気的に導電性の本体124を含む。被覆180は、約80から約200ミクロインチの表面仕上げ処理部を持ち、これは、高価なエージングや基板支持用アセンブリ138のプラズマ処置を有することなく、堆積の均一性を改善すると証明されてきた。被膜180は、本体124の他の部分を覆ってもよい。より粗い表面は、ガラス基板の厚さ変動の影響を相殺し、基板にわたり、より均一な容量を提供し、それにより、プラズマ、堆積均一性を増強し、実質的に堆積膜における薄いスポット形成を除去する。
導電性本体124は、金属や、他の、導電性材料に匹敵するものから製造可能である。被膜180は、数ある中で、酸化物、窒化珪素、二酸化珪素、二酸化アルミニウム、五酸化タンタル、シリコンカーバイド、ポリイミドのような誘電材料でもよく、これらには、様々な堆積又は被膜処理(フレーム溶射、プラズマ溶射、高エネルギ被膜、化学気相堆積、溶射、付着膜、スパッタリング、カプセル封入を含むが、これらに限定されない)が適用可能である。
一実施形態において、基板支持用アセンブリ138は、少なくとも一つの埋め込まれた加熱素子132及び熱電対を封入するアルミニウム導電性本体124を含む。少なくとも一つの補強部材116が、加熱素子132の近傍の本体124内に一般的に埋め込まれている。第2補強部材166は、第1補強部材116の反対側の、加熱素子132の側に本体124内に配置可能である。補強部材116、166は、金属、セラミック、他の剛性材料で構成されてもよい。一実施形態において、補強部材116、166は、酸化アルミニウム製ファイバで構成される。代替え的に、補強部材116、166は、酸化アルミニウム粒子と結合された酸化アルミニウム製ファイバ、シリコンカーバイド製ファイバ、酸化シリコン製ファイバ、類似の材料から構成可能である。補強部材116、166は、ルースな材料を含んでもよく、板のように予め加工された形状でもよい。代替え的に、補強部材116、166は、他の形状や幾何学的な形状を備えてもよい。一般的に、補強部材116、166は、以下で説明する鋳造処理中にアルミニウムが部材116、166に含浸させる多少の多孔性を有してもよい。
支持用アセンブリ138内に配置された電極のような加熱素子132は、電源130に結合され、制御自在に支持用アセンブリ138と、上部に位置決めされた基板140を所定温度まで加熱する。通常、加熱素子132は、約150から少なくとも約460℃までの間のある均一な温度に基板140を維持する。
一般的に、基板アセンブリ138は、基板を支持する下側126と上側134を有する。下側126は、それに結合されたステムカバー144を有する。ステムカバー144は、一般的に、支持用アセンブリ138に結合されたアルミニウム製リングであり、支持用アセンブリ138は、ステム142の取付けの為に装着面を提供する。
一般的に、ステム142は、ステムカバー144から伸びており、支持用アセンブリ138を高い位置(図示せず)と低い位置との間で移動させるリフトシステム(図示せず)に支持用アセンブリ138を結合する。ベローズ146は、真空密閉を処理ボリューム112とチャンバ外環境との間に提供するが、支持用アセンブリ138の移動は容易にする。ステム142は、追加的に、支持用アセンブリ138とシステム100の他の構成部品との間で電気的リード及び熱電対用リード用導管を提供する。
支持用アセンブリ138は、一般的に接地され、RF電源122により分配用プレート118(又はチャンバのリッドアセンブリ内又は付近に位置決めされた他の電極)に供給されたRF電力が、支持用アセンブリ138と分配用プレート118との間の処理ボリューム112内に配置されたガスを励起可能である。電源122からのRF電力は、化学気相堆積処理を駆動する為に基板のサイズに相応して一般的に選択される。
支持用アセンブリ138は、追加的に、外接シャドウフレーム148を支持する。一般的に、シャドウフレーム148は、基板140の縁部での堆積を防止し、アセンブリ138を支持し、基板が支持用アセンブリ138にくっつかないようにする。
支持用アセンブリ138は、複数のリフトピンを受容する複数の孔128が開けられている。リフトピン150は、通常、セラミック又は陽極酸化処理されたアルミニウムから構成される。一般的に、リフトピン150は、第1端部160を持つが、この第1端部160は、リフトピン150が通常の位置(すなわち、支持用アセンブリ138に対し後退た位置)にあるとき、支持用アセンブリ138の上側134から僅かに後退されるか実質的に同一平面にある。第1端部160は、一般的にラッパ状に広がっており、リフトピン150が孔128から落ちないようになっている。さらに、リフトピン150は、第2端部164を有するが、この第2端部164は、支持用アセンブリ138の下側から下方に伸びている。リフトピン150は、リフトプレート154により支持用アセンブリ138に対して上側134から突き出るように駆動可能であり、それにより、支持用アセンブリ138に対して間隔を開けた関係に基板を置く。
リフトプレート154は、支持面の下側126近傍に配置される。リフトプレート154は、カラー156によりアクチュエータに連結され、カラー156は、ステム143の一部に外接する。ベローズ146は、上部168と下部170とを含み、これらは、ステム142とカラー156を独立して移動させる一方、同時にチャンバ102の外側の環境から処理ボリューム112の隔離を維持する。一般的に、リフトプレート154は、支持用アセンブリ138とリフトプレート154が互いに密接に移動するとき、リフトピン150が上側134から伸びるように起動される。
図2は、支持用アセンブリ200の他の一実施形態の部分的断面図である。支持用アセンブリ200は、陽極酸化処理された被膜210で実質的に覆われたアルミニウム本体202を含む。本体202は、一以上の結合部材又は単一の鋳造された本体であって、内部に加熱素子132が埋め込まれたものでもよい。本発明から利益を得る為に適合可能な基板支持用アセンブリの例は、12/2/2002に出願された米国特許第10/308385号、8/1/2001に出願された米国出願第09/921104に記載され、両方とも本願に参考の為に組み込まれる。
本体202は、一般的に、基板支持面204、反対側の装着面206を含む。装着面206は、ステム142(図1に図示)に結合されている。陽極酸化処理された被膜210は、本体202の支持面204を少なくとも覆い、基板140と支持面204との間に分離層を提供する。
被膜210は、外側面212と内側面214とを含む。内側面214は、一般的に、本体202上に直接、配置される。一実施形態において、陽極酸化処理された被膜の厚さは、約0.3から約2.16ミルの間である。この範囲の外側に該当する厚さを持つ陽極酸化処理された被膜は、失敗する可能性温度サイクル中に該当するか、或いは、PECVD堆積において形成されたSiN、αSi、n+α−Si製の大領域膜におけるスポッティングを十分に減少させない。
上記基板支持面204の上方に位置決めされた外側面212の一部218は、上部の基板140を支持するように構成された幾何学的形状を有する。外側面212の一部218は、所定の表面粗さの表面仕上げ処理部216を有し、これが、基板140上に堆積された膜の均一な厚さを促進する。表面処理仕上げ部216は、約80〜約200ミクロインチの粗さを有する。表面仕上げ処理部216は、有利なことに、改善膜の厚さ均一性が生じ、特に、基板支持体をコンディショニング(例えば、エージング)することなく、局所的厚さの不均一性(薄い堆積箇所)を実質的に除去することが分かってきた。基板支持体のコンディショニングの除去により、通常はプラズマエージング処理で消費される時間と材料の両方を節約でき、サイクル間の真空パージを除去し、この除去により、システムスループットが改善される。一実施形態において、表面仕上げ処理部216は、約130ミクロインチの粗さを有する。
陽極酸化処理された被膜210の表面仕上げ処理部216は、基板140の下にある外側基板支持面204の少なくとも一部を処置することにより、更に/又は、(所定の表面仕上げ処理部208を得るために)基板140を支持する陽極酸化処理された被膜210を少なくとも処置することにより、達成可能である。基板支持面204の表面仕上げ処理部208は、数多くの方法で形成可能であり、例えば、ビードブラスト処理、研磨材ブラスト処理、研削、エンボス加工、サンディング、テクスチャリング、エッチング、所定の表面粗さを提供する他の方法がある。一実施形態において、本体202の支持面の表面仕上げ処理部208は、約88から約230ミクロインチである。他の実施形態において、表面仕上げ処理部208は、約145ミクロインチである。
オプションとして、基板140の下方から外側に位置決めされる部分220に隣接する基板支持面204のストリップ224は、製造コストを最小にするため、処置せずに残してもよい。これにより、未処置ストリップ224の上方に、陽極酸化処理された被膜210のストリップ222が生じ、ストリップ222は仕上げ部216とは異なる仕上げ部を有してもよい。しかし、ストリップ222は基板140を超え、ストリップ222の表面仕上げ処理部は、膜堆積の均一性に影響がない。一実施形態において、陽極酸化処理された被膜210のストリップ222は、それが隣接する被膜210の一部218よりスムーズな表面仕上げ処理部を有する。一実施形態において、ストリップ222は、約130ミクロインチ未満の表面仕上げ処理部を有する。
図3は、支持用アセンブリ138を製造するための方法300の一実施形態を示す。この方法は、本体202の支持面204を準備することにより、ステップ302から開始する。準備するステップ302は、一般的に、基板表面204を加工すること、或いは、他の方法で処置することを要するので、仕上げ処理部208は、約80から約200ミクロインチになっている。一実施形態において、準備するステップ302は、ビードブラスト処理、研磨材ブラスト処理、研削、エンボス加工、サンディング、テクスチャリング、エッチング、所定の表面粗さ(例えば、約130ミクロインチ)を与える他の方法を含んでもよい。
一実施形態において、基板支持面204は、所定表面仕上げ状態までビードブラスト処理される。ビードブラスト処理は、本体202をセラミック又は酸化物ビードで衝突させることを含んでもよい。
他の実施形態において、ビードは、平均径約125から375ミクロの酸化アルミニウムである。ビーズは、約88から約230ミクロインチの表面仕上げを提供するのに十分な出口速度を有するノズルを通して提供される。
準備するステップ302の後、本体はステップ304で陽極酸化処理される。陽極酸化処理するステップ304は、一般的に、約0.3から約2.16ミルの間の厚さを有する陽極酸化処理された層を適用するステップを含む。陽極酸化処理された被膜212の外側面212の、結果として生じる表面仕上げ処理部216は、約80から約200ミクロインチであり、一実施形態では、約130ミクロインチである。
図4は、支持用アセンブリ138を製造する方法400の他の実施形態である。この方法は、アルミニウム本体202を陽極酸化処理することによりステップ402で開始する。ステップ404では、陽極酸化処理された被膜210の外側面212の少なくとも一部218が処置され、粗くされた表面仕上げ処理部216を提供する。また、外側面212の他の部分は、処置されてもよい。
処理するステップ404は、ビードブラスト処理、研磨材ブラスト処理、研削、エンボス加工、サンディング、テクスチャリング、エッチング、所定の表面粗さを与える他の方法を含んでもよい。一実施形態において、処理するステップ404は、約80から約200ミクロインチ間の外側面の表面仕上げ処理部が生じる。
図5は、均一体積厚さを高めるように構成された支持用アセンブリ500の他の実施形態の一部断面図を示す。支持用アセンブリ500は、陽極酸化処理された被膜506により実質的に封入されたアルミニウム製支持用本体502を含む。加熱素子504は、支持用本体502に結合され、支持用アセンブリ500の上面に位置決めされた基板140の温度を制御する。加熱素子504は、抵抗加熱ヒータ、又は本体502に結合されるか本体502に配置される他の温度制御装置でもよい。代替え的に、加熱素子504と本体502との間に直接の接触を与えるために、本体502の下部512は陽極酸化処理が無くてもよい。オプションとして、熱伝導材の介在層(図示せず)が、加熱素子504と本体502の下部504の間に配置されてもよい。
基板140を支持する、陽極酸化処理された被膜506の上部508は、基板140上の均一な膜の堆積を高めるように構成された表面仕上げ処理部510を有する。一実施形態において、表面仕上げ処理部510は、約80から約200ミクロインチの間の粗さを有する。表面仕上げ処理部510は、前述した方法を含む数多くの方法を介して作り出せる。
図6は、加熱アセンブリ600の他の実施形態を示す。加熱アセンブリ600は、少なくとも部分的に上部に形成された、陽極酸化処理された被膜606を有するアルミニウム本体602を含む。加熱素子604,即ち、温度制御された流体が循環される導管は、本体602の底面に配置され、基板140の温度制御を容易にする。代替え的に、熱伝導板614は、加熱素子604と本体602との間に配置可能であり、加熱素子604と本体602間の温度均一性を高める。一実施形態において、介在層614は銅板である。
クランプ板608は、複数のファスナ610(図6には一つが図示)であって、本体602に形成されたネジ付き孔612内に通されたものにより本体602に結合されている。クランプ板608は、加熱素子604を本体602を用いて挟み、熱伝達を高めている。
基板140を支持する陽極酸化処理された被膜606の一部620は、基板140の堆積膜の均一性を高めるように構成された表面仕上げ処理部622を有する。表面仕上げ処理部622は、前述したものと同様に作り出せる。
そのため、大領域基板上に配置された堆積膜の均一性を高める支持用アセンブリが提供される。基板を支持する支持用アセンブリのアルミニウム製本体を覆う、陽極酸化処理された被膜の少なくとも一部は、堆積均一性を高める所定の表面粗さまで織地状の表面にされ、それにより、実質的に時間消費する支持用アセンブリのエージングや付随するコストを除去する。
本発明の教示を組み込む幾つかの好適な実施形態を図示し詳細に説明してきたが、当業者は、容易に多くの他の変更実施形態であって、これらの教示を組み込むものを案出することができる。
100…プラズマ増強型化学気相堆積システム、102…チャンバ、106…壁、108…底部、110…アセンブリ、112…処理ボリューム、114…ポンピングプレナム、116…第1補強部材、118…分配用プレート、120…内側、124…本体、126…下側、128…孔、130…電源、132…加熱素子、134…上側、138…基板支持用アセンブリ、140…大領域ガラス基板、142…ステム、144…ステムカバー、146…ベローズ、148…外接シャドウフレーム、150…リフトピン、154…リフトプレート、156…カラー、160…第1端部、164…第2端部、166…第2補強部材、168…上部、170…下部、180…被膜、200…支持用アセンブリ、202…本体、204…支持面、206…装着面、208…表面仕上げ処理部、210…被膜、212…外側面、214…内側面、216…表面仕上げ処理部、218…部分、220…部分、224…ストリップ、500…支持用アセンブリ、502…アルミニウム製支持用本体、504…加熱素子、506…被膜、508…上部、510…表面仕上げ処理部、512…下部、600…ヒータアセンブリ、602…アルミニウム製本体、604…加熱素子、606…被膜、608…クランプ板、610…ファスナ、612…ネジ付き孔、614…介在層、620…部分、622…表面仕上げ処理部。

Claims (20)

  1. 重力により大領域基板を支持するように適合された基板支持体であって、
    大領域ガラス基板を上部に支持するように構成された基板支持面を有し、少なくとも1つの加熱素子を含むアルミニウム本体と、
    前記基板支持面上に配置された陽極酸化処理された被膜と、
    のみから実質的になり、
    前記被膜が、0.3ミル〜2.16ミルの厚さと、基板接触領域とを有し、
    前記基板接触領域は、前記基板支持面を88ミクロインチ〜230ミクロインチの表面粗さに準備して、続いて前記基板表面を前記厚さまで陽極酸化処理することによって得られる、基板支持体。
  2. 前記基板支持面を準備するステップは、前記基板支持面をビードブラスト処理するステップを備える、請求項1記載の基板支持体。
  3. 前記基板支持体は、前記基板接触領域に隣接する周辺領域を更に含み、
    前記周辺領域は、前記基板表面を陽極酸化処理する前において130ミクロインチ未満の表面粗さを有する、請求項1記載の基板支持体。
  4. 前記基板支持体は、ガス分配用プレートと対向配置されている、請求項1記載の基板支持体。
  5. 前記ガス分配用プレートは、化学気相堆積チャンバにシリコン含有ガスを供給するように適合されている、請求項4記載の基板支持体。
  6. ガラス基板を支持する方法であって、
    基板支持体を供給するステップであって、前記基板支持体が、電気的導電性本体と、前記基板支持体の表面に形成される基板接触領域とを備え、前記基板接触領域を形成するプロセスが、
    前記電気的導電性本体の表面領域上に電気的に絶縁性の被膜を形成する工程であって、前記被膜が0.3ミル〜2.16ミルの厚さを有し、前記表面領域が前記基板接触領域に実質的に対応する、前記工程と、
    前記表面領域を88ミクロインチ〜230ミクロインチの表面粗さに準備する工程と、
    を備える、前記ステップと、
    基板処理チャンバ内の基板処理領域に隣接して前記基板支持体を位置決めするステップであって、前記基板接触領域が前記基板処理領域に隣接する、前記ステップと、
    前記基板接触領域上に基板を位置決めするステップと、
    を備える、方法。
  7. 前記基板支持体の前記電気的導電性本体は、アルミニウム本体である、請求項6記載の方法。
  8. 電気的に絶縁性の被膜を形成する工程は、前記基板支持体の少なくとも前記表面領域を陽極酸化処理する工程を含む、請求項7記載の方法。
  9. 前記表面領域を準備する工程は、ビードブラスト処理する工程のみから実質的になる、請求項8記載の方法。
  10. 前記ビードブラスト処理する工程は、陽極酸化処理する工程の前に行われる、請求項9記載の方法。
  11. 前記電気的に絶縁性の被膜は、窒化珪素、二酸化珪素、二酸化アルミニウム、五酸化タンタル、シリコンカーバイド、ポリイミドから成るグループから選択される、請求項6記載の方法。
  12. 前記基板接触領域は、前記基板支持体の表面のうち、処理中に基板に接触する全ての領域を含む、請求項6記載の方法。
  13. 前記基板支持体は、少なくとも1つの加熱素子を含む、請求項6記載の方法。
  14. 前記基板支持体は、前記基板接触領域に隣接する周辺領域を更に含み、
    前記周辺領域は、130ミクロインチ未満の表面粗さを有する、請求項6記載の方法。
  15. 基板処理領域に隣接して前記基板支持体を位置決めするステップは、前記基板支持体をガス分配用プレートと対向配置するステップを備える、請求項6記載の方法。
  16. ガラス基板を支持する方法であって、
    アルミニウム本体を有する基板支持体上に基板接触領域を形成するステップであって、前記基板接触領域を形成するステップが、
    前記基板支持体の表面領域を88ミクロインチ〜230ミクロインチの表面粗さに準備する工程であって、前記表面領域が前記基板接触領域に実質的に対応する、前記工程と、
    前記表面領域を準備する工程の後に、前記基板支持体の少なくとも前記表面領域を、0.3ミル〜2.16ミルの厚さまで陽極酸化処理する工程と、
    を備える、前記ステップと、
    基板処理チャンバ内の基板処理領域に隣接して前記基板支持体を位置決めするステップであって、前記基板接触領域が前記基板処理領域に隣接する、前記ステップと、
    前記基板接触領域上にガラス基板を位置決めするステップと、
    前記基板処理領域にシリコン含有ガスを導入するステップと、
    前記シリコン含有ガスを励起するために前記基板処理領域内にプラズマを生成するステップと、
    前記基板上にシリコン含有膜を堆積するステップと、
    を備える、方法。
  17. 前記基板支持面を準備する工程は、前記基板支持面をビードブラスト処理する工程を備える、請求項16記載の方法。
  18. 前記基板支持体は、前記基板接触領域に隣接する周辺領域を備え、
    前記周辺領域は、前記基板支持面を陽極酸化処理する前において130ミクロインチ未満の表面粗さを有する、請求項16記載の方法。
  19. 前記基板接触領域は、前記基板支持体の表面のうち、処理中に基板に接触する全ての領域を含む、請求項16記載の方法。
  20. 前記基板支持体は、少なくとも1つの加熱素子を含む、請求項16記載の方法。
JP2009149983A 2003-05-09 2009-06-24 陽極酸化処理された基板支持体 Pending JP2009239300A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/435,182 US20040221959A1 (en) 2003-05-09 2003-05-09 Anodized substrate support

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004140365A Division JP2005051200A (ja) 2003-05-09 2004-05-10 メッキ処理された基板支持体

Publications (1)

Publication Number Publication Date
JP2009239300A true JP2009239300A (ja) 2009-10-15

Family

ID=32990571

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004140365A Pending JP2005051200A (ja) 2003-05-09 2004-05-10 メッキ処理された基板支持体
JP2009149983A Pending JP2009239300A (ja) 2003-05-09 2009-06-24 陽極酸化処理された基板支持体

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2004140365A Pending JP2005051200A (ja) 2003-05-09 2004-05-10 メッキ処理された基板支持体

Country Status (6)

Country Link
US (2) US20040221959A1 (ja)
EP (1) EP1475460A1 (ja)
JP (2) JP2005051200A (ja)
KR (2) KR20040096785A (ja)
CN (1) CN100385640C (ja)
TW (1) TW200507157A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012222287A (ja) * 2011-04-13 2012-11-12 Shimadzu Corp プラズマcvd成膜装置および基板搭載装置

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
JP2005064284A (ja) * 2003-08-14 2005-03-10 Asm Japan Kk 半導体基板保持装置
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7732056B2 (en) * 2005-01-18 2010-06-08 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
TWI375295B (en) * 2005-07-15 2012-10-21 Applied Materials Inc Reducing electrostatic charge by roughening the susceptor
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US20070202636A1 (en) * 2006-02-22 2007-08-30 Applied Materials, Inc. Method of controlling the film thickness uniformity of PECVD-deposited silicon-comprising thin films
KR100812047B1 (ko) * 2006-08-14 2008-03-10 삼성에스디아이 주식회사 기판 구동부 및 이를 이용한 기판 이동 방법
US20080131622A1 (en) * 2006-12-01 2008-06-05 White John M Plasma reactor substrate mounting surface texturing
US7959735B2 (en) * 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
US20080289686A1 (en) * 2007-05-23 2008-11-27 Tae Kyung Won Method and apparatus for depositing a silicon layer on a transmitting conductive oxide layer suitable for use in solar cell applications
US7964430B2 (en) * 2007-05-23 2011-06-21 Applied Materials, Inc. Silicon layer on a laser transparent conductive oxide layer suitable for use in solar cell applications
FR2922899B1 (fr) * 2007-10-26 2010-11-26 Univ Toulouse Procede de fabrication d'une structure poreuse ordonnee a partir d'un substrat d'aluminium
US9917001B2 (en) * 2008-01-21 2018-03-13 Applied Materials, Inc. High temperature fine grain aluminum heater
KR101588566B1 (ko) * 2008-03-20 2016-01-26 어플라이드 머티어리얼스, 인코포레이티드 롤-성형 표면을 갖는 서셉터 및 이를 제조하기 위한 방법
US20100000684A1 (en) * 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US8597084B2 (en) * 2008-10-16 2013-12-03 Applied Materials, Inc. Textured platen
US10392718B2 (en) 2009-09-04 2019-08-27 Apple Inc. Anodization and polish surface treatment
KR101992702B1 (ko) * 2010-10-28 2019-06-25 어플라이드 머티어리얼스, 인코포레이티드 고순도 알루미늄 코팅 경질 양극산화
KR102101192B1 (ko) 2012-07-27 2020-04-21 어플라이드 머티어리얼스, 인코포레이티드 조면화된 기판 지지부
CN104823278B (zh) * 2012-10-01 2019-04-23 福斯德物理学有限责任公司 用于温度控制的装置和方法
JP6449224B2 (ja) 2013-03-14 2019-01-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板上の高純度アルミニウムトップコート
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9975320B2 (en) * 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
CN103956349B (zh) * 2014-05-20 2016-06-15 株洲南车时代电气股份有限公司 功率半导体芯片的铜金属化结构及其制作方法
JP6170029B2 (ja) * 2014-11-07 2017-07-26 トヨタ自動車株式会社 遮熱膜の形成方法
KR102632725B1 (ko) * 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법
CN107201507B (zh) * 2016-03-17 2019-09-17 Asm知识产权私人控股有限公司 衬底支撑板和包含其的薄膜沉积设备
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US11330673B2 (en) 2017-11-20 2022-05-10 Applied Materials, Inc. Heated substrate support
US10914114B2 (en) * 2018-07-06 2021-02-09 Guardian Glass, LLC Electric potentially-driven shade including shutter supporting surface-modified conductive coating, and/or method of making the same
CN109881184B (zh) * 2019-03-29 2022-03-25 拓荆科技股份有限公司 具有静电力抑制的基板承载装置
US11521834B2 (en) * 2020-08-26 2022-12-06 Tokyo Electron Limited Plasma processing systems and methods for chemical processing a substrate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10340896A (ja) * 1997-06-06 1998-12-22 Nippon Asm Kk プラズマcvd装置用サセプタ及びその製造方法
JP2001355072A (ja) * 2000-06-13 2001-12-25 Anelva Corp 基板処理装置

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3019522A (en) * 1958-06-23 1962-02-06 John M Bluth Reformation of metallic surfaces
US3616310A (en) * 1969-03-10 1971-10-26 Kaiser Aluminium Chem Corp Aluminum-anodizing process
JPS59117675U (ja) * 1983-01-24 1984-08-08 旭可鍛鉄株式会社 アルミニウム又はその合金における陽極酸化皮膜の構造
US4801785A (en) * 1986-01-14 1989-01-31 Raychem Corporation Electrical devices
US5200157A (en) * 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
DE3714517A1 (de) * 1987-04-30 1988-11-17 Oberdorfer Fa F Fadentrenner fuer nahtmaschinen, insbesondere zum nahten von entwaesserungssieben, trockensieben, filzen u. dgl.
US4862799A (en) * 1987-11-13 1989-09-05 Rockwell International Corporation Copper coated anodized aluminum ink metering roller
US5314601A (en) * 1989-06-30 1994-05-24 Eltech Systems Corporation Electrodes of improved service life
JPH0686662B2 (ja) 1989-11-02 1994-11-02 イビデン株式会社 Cvd用サセプター
US4974369A (en) * 1990-06-28 1990-12-04 William Dixon Two-dimensionally grooved sanding pad
US5104014A (en) * 1990-06-28 1992-04-14 John F. Flynn Trapunto rod to stuff quilted items
US5395342A (en) * 1990-07-26 1995-03-07 Yoon; Inbae Endoscopic portal
JP2748181B2 (ja) * 1990-07-26 1998-05-06 キヤノン株式会社 ウエハチャック
SU1797027A1 (ru) 1990-07-27 1993-02-23 Ni Elektrotekh I Полупроводниковый датчик состава газов и способ его изготовления
US5104514A (en) * 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
JP3142619B2 (ja) * 1991-12-09 2001-03-07 株式会社設備デザイン建築設備士事務所 外装用アルマイト建材の製造方法
US5290424A (en) * 1992-01-31 1994-03-01 Aluminum Company Of America Method of making a shaped reflective aluminum strip, doubly-protected with oxide and fluoropolymer coatings
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5401573A (en) * 1992-11-30 1995-03-28 Mcdonnell Douglas Corporation Protection of thermal control coatings from ultraviolet radiation
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5384682A (en) * 1993-03-22 1995-01-24 Toto Ltd. Electrostatic chuck
FR2714234B1 (fr) * 1993-12-16 1996-08-23 Telemecanique Système de contrôle d'alimentation d'un moteur asynchrone.
US20020148941A1 (en) * 1994-02-17 2002-10-17 Boris Sorokov Sputtering method and apparatus for depositing a coating onto substrate
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP3176219B2 (ja) 1994-05-31 2001-06-11 京セラ株式会社 静電チャック
EP0693709A1 (en) * 1994-07-18 1996-01-24 Minnesota Mining And Manufacturing Company Fluoropolymers and fluorochemical surface active agents for improving the antistatic behaviour of materials and light sensitive material having improved antistatic behaviour
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5756222A (en) * 1994-08-15 1998-05-26 Applied Materials, Inc. Corrosion-resistant aluminum article for semiconductor processing equipment
US5677253A (en) * 1995-03-30 1997-10-14 Kyocera Corporation Wafer holding member
US5804253A (en) * 1995-07-17 1998-09-08 Kanegafuchi Chemical Ind. Co., Ltd. Method for adhering or sealing
US6355554B1 (en) * 1995-07-20 2002-03-12 Samsung Electronics Co., Ltd. Methods of forming filled interconnections in microelectronic devices
US6077781A (en) * 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
JPH09168171A (ja) * 1995-12-14 1997-06-24 Semiconductor Energy Lab Co Ltd 表示装置及びその表示システム
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
EP0803900A3 (en) * 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
JPH09323234A (ja) 1996-06-05 1997-12-16 Nippon Cement Co Ltd 静電チャック及びその製造方法
US5856236A (en) * 1996-06-14 1999-01-05 Micron Technology, Inc. Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US6007673A (en) * 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5858464A (en) * 1997-02-13 1999-01-12 Applied Materials, Inc. Methods and apparatus for minimizing excess aluminum accumulation in CVD chambers
FR2764310B1 (fr) * 1997-06-10 1999-07-09 Commissariat Energie Atomique Materiau multicouches a revetement anti-erosion, anti-abrasion, et anti-usure sur substrat en aluminium, en magnesium ou en leurs alliages
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
JP3283459B2 (ja) * 1997-12-17 2002-05-20 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6064031A (en) * 1998-03-20 2000-05-16 Mcdonnell Douglas Corporation Selective metal matrix composite reinforcement by laser deposition
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP3588253B2 (ja) * 1998-06-04 2004-11-10 京セラ株式会社 静電チャック
US6117772A (en) * 1998-07-10 2000-09-12 Ball Semiconductor, Inc. Method and apparatus for blanket aluminum CVD on spherical integrated circuits
DE19839997C1 (de) * 1998-09-02 2000-06-21 Siemens Ag Elektronische Schaltungsanordnung
FR2783970B1 (fr) * 1998-09-25 2000-11-03 Commissariat Energie Atomique Dispositif autorisant le traitement d'un substrat dans une machine prevue pour traiter de plus grands substrats et systeme de montage d'un substrat dans ce dispositif
US6081874A (en) * 1998-09-29 2000-06-27 International Business Machines Corporation Non-uniform memory access (NUMA) data processing system that speculatively issues requests on a node interconnect
US6726304B2 (en) * 1998-10-09 2004-04-27 Eastman Kodak Company Cleaning and repairing fluid for printhead cleaning
JP3438625B2 (ja) * 1998-12-10 2003-08-18 マックス株式会社 鉄筋結束用リール巻きワイヤの緩み防止方法
JP2001209981A (ja) * 1999-02-09 2001-08-03 Ricoh Co Ltd 光ディスク基板成膜装置、光ディスク基板成膜方法、基板ホルダーの製造方法、基板ホルダー、光ディスクおよび相変化記録型光ディスク
EP1193751B1 (en) 1999-04-06 2006-05-17 Tokyo Electron Limited Electrode and method of manufacturing an electrode
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6322712B1 (en) * 1999-09-01 2001-11-27 Micron Technology, Inc. Buffer layer in flat panel display
US6423175B1 (en) * 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6649031B1 (en) * 1999-10-08 2003-11-18 Hybrid Power Generation Systems, Llc Corrosion resistant coated fuel cell bipolar plate with filled-in fine scale porosities and method of making the same
US6196001B1 (en) * 1999-10-12 2001-03-06 Alliedsignal Inc. Environment controlled WIP cart
JP2001117079A (ja) 1999-10-18 2001-04-27 Ibiden Co Ltd 液晶表示板用の基板の加熱装置
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6775873B2 (en) * 2000-02-09 2004-08-17 Eugene H. Luoma Apparatus for removing hair from a drain
US6403479B1 (en) 2000-03-17 2002-06-11 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
JP2001298013A (ja) 2000-04-13 2001-10-26 Sharp Corp 基板処理装置
SG106588A1 (en) * 2000-10-10 2004-10-29 Inst Data Storage Method for producing thin film magnetic devices having increased orientation ratio
US6470508B2 (en) * 2000-11-08 2002-10-29 Watkins Manufacturing Corporation Air check valve system for a spa
US6554907B2 (en) * 2001-01-02 2003-04-29 Applied Materials, Inc. Susceptor with internal support
TWI272689B (en) 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
JP3758979B2 (ja) * 2001-02-27 2006-03-22 京セラ株式会社 静電チャック及び処理装置
JP4359001B2 (ja) * 2001-03-02 2009-11-04 本田技研工業株式会社 陽極酸化膜改質方法、陽極酸化膜構造及びアルミニウム合金製船外機
US6458683B1 (en) * 2001-03-30 2002-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming aluminum bumps by CVD and wet etch
JP2002313781A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
KR100422446B1 (ko) * 2001-07-12 2004-03-12 삼성전자주식회사 건식식각장치의 이그저스트링
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
KR100789453B1 (ko) 2001-07-30 2008-01-02 엘지.필립스 엘시디 주식회사 플라즈마 화학기상증착장비의 프로세스 챔버
US6510888B1 (en) * 2001-08-01 2003-01-28 Applied Materials, Inc. Substrate support and method of fabricating the same
TW589401B (en) * 2001-10-24 2004-06-01 Hon Hai Prec Ind Co Ltd The surface treatment of aluminum article
JP3635463B2 (ja) 2001-11-27 2005-04-06 東京エレクトロン株式会社 自己バイアス測定方法及び装置並びに静電吸着装置
US7048814B2 (en) * 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7033447B2 (en) * 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6659331B2 (en) * 2002-02-26 2003-12-09 Applied Materials, Inc Plasma-resistant, welded aluminum structures for use in semiconductor apparatus
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
KR100557882B1 (ko) 2002-10-10 2006-03-10 김종균 미닫이 문 개폐장치
US6884336B2 (en) * 2003-01-06 2005-04-26 General Motors Corporation Color finishing method
KR200312050Y1 (ko) 2003-01-29 2003-05-01 이기봉 차량 시거잭을 이용한 레이저 디텍터
US20040221959A1 (en) 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US7732056B2 (en) * 2005-01-18 2010-06-08 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
KR200432489Y1 (ko) 2006-09-22 2006-12-04 김원석 논 수위조절장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10340896A (ja) * 1997-06-06 1998-12-22 Nippon Asm Kk プラズマcvd装置用サセプタ及びその製造方法
JP2001355072A (ja) * 2000-06-13 2001-12-25 Anelva Corp 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012222287A (ja) * 2011-04-13 2012-11-12 Shimadzu Corp プラズマcvd成膜装置および基板搭載装置

Also Published As

Publication number Publication date
TW200507157A (en) 2005-02-16
CN100385640C (zh) 2008-04-30
KR20060100302A (ko) 2006-09-20
US7732010B2 (en) 2010-06-08
JP2005051200A (ja) 2005-02-24
EP1475460A1 (en) 2004-11-10
US20060185795A1 (en) 2006-08-24
US20040221959A1 (en) 2004-11-11
CN1551326A (zh) 2004-12-01
KR20040096785A (ko) 2004-11-17

Similar Documents

Publication Publication Date Title
JP2009239300A (ja) 陽極酸化処理された基板支持体
JP2005051200A5 (ja)
US8372205B2 (en) Reducing electrostatic charge by roughening the susceptor
KR101441858B1 (ko) 서셉터를 러프닝함으로써 정전하를 감소시키는 장치
KR100431658B1 (ko) 기판 가열 장치 및 이를 갖는 장치
US20020134511A1 (en) Substrate supporting table,method for producing same, and processing system
EP1928017B1 (en) Plasma reactor substrate mounting surface texturing
US5626678A (en) Non-conductive alignment member for uniform plasma processing of substrates
US7815492B2 (en) Surface treatment method
JP2003224077A (ja) プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
US20020083897A1 (en) Full glass substrate deposition in plasma enhanced chemical vapor deposition
JP2951903B2 (ja) 処理装置
JP5745394B2 (ja) 基板支持体、プラズマ反応装置、および、サセプターを形成する方法
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
WO2017200733A1 (en) Non-shadow frame plasma processing chamber
JP2951876B2 (ja) 基板処理方法および基板処理装置
JP4890313B2 (ja) プラズマcvd装置
KR20070001722A (ko) 플라즈마 에칭 처리 장치
JP2005330518A (ja) プラズマ処理装置
JP2002110571A (ja) 成膜装置および成膜方法
JPH05144748A (ja) プラズマ処理装置
JP2003045854A (ja) プラズマ処理方法及び装置

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110823

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111124

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120123

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120223

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120522