JP2008547199A - シリコンベースの誘電性化学気相堆積の方法 - Google Patents

シリコンベースの誘電性化学気相堆積の方法 Download PDF

Info

Publication number
JP2008547199A
JP2008547199A JP2008516930A JP2008516930A JP2008547199A JP 2008547199 A JP2008547199 A JP 2008547199A JP 2008516930 A JP2008516930 A JP 2008516930A JP 2008516930 A JP2008516930 A JP 2008516930A JP 2008547199 A JP2008547199 A JP 2008547199A
Authority
JP
Japan
Prior art keywords
silicon
nitrogen
chemical
substrate
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008516930A
Other languages
English (en)
Inventor
ヤキシン ワン,
祐二 前田
トーマス シー. メレ,
ショーン エム. セウター,
サンジーヴ タンドン,
アール. スリャナラヤナン アイヤー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008547199A publication Critical patent/JP2008547199A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

一般的に、本発明の実施形態は、シリコン含有膜を堆積させる方法を提供する。一実施形態では、基板上にシリコン含有材料膜を堆積させる方法は、堆積チャンバ内に窒素と炭素を含有した化学物質を流すステップと、窒化シリコン化学結合を有するシリコン含有源化学物質を処理チャンバ内に流すステップと、チャンバ内に配置した基板を、摂氏550度未満の温度に加熱するステップを含む。別の実施形態では、シリコン含有化学物質はトリシリルアミンであり、窒素と炭素を含有した化学物質は(CH3)3−Nである。
【選択図】 図2

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的に、シリコン含有材料を堆積させる方法に関し、より具体的には、本発明の実施形態は窒化シリコンを熱的に堆積させる化学気相堆積技術に関する。
関連技術の説明
[0002]窒化シリコンのようなシリコン含有膜の熱化学気相堆積(CVD)は、半導体デバイス製造中に使用される最新技術のフロントエンド処理である。例えば、窒化シリコンを堆積させる熱CVD処理では、熱エネルギーを利用してシリコン前駆物質を含む1つ以上の供給原料化学物質を破壊して、基板表面上に炭化シリコンの薄膜を作成する。典型的に、従来のシリコン含有材料の熱CVDは、典型的には摂氏550度を超える上昇温度で動作するバッチ炉またはシングルウェーハ堆積チャンバ内で実行される。より高速の集積回路を得る為にデバイス形状が小型化されるに従い、満足のゆく処理結果、優れた製品生産性、頑丈なデバイス性能を得るためには、堆積させた膜のサーマルバジェットを減少させなければならない。摂氏約550度未満の堆積温度を有するシリコン含有材料のCVD処理が幾つか提案されているが、半導体デバイス製作での大規模な利用に適した生産価値を呈するものはない。
[0003]そのため、窒化シリコンのようなシリコン含有材料を摂氏約550未満の温度で堆積させる方法が必要である。
[0004]一般的に、本発明の実施形態はシリコン含有膜を堆積させる方法を提供する。一実施形態では、基板上にシリコン含有膜を堆積させる方法は、窒素と炭素を含有した化学物質を堆積チャンバ内に流すことと、窒化シリコン化学結合を有するシリコン含有源化学物質を処理チャンバ内に流すことと、チャンバ内に配置した基板を摂氏約550未満の温度に加熱することとを含む。別の実施形態では、シリコン含有化学物質はトリシリルアミンであり、窒素と炭素を含有した化学物質は(CH−Nである。
[0005]上で参照した本発明の特徴を詳細に理解する方式のために、上で簡単に要約したより具体的な本発明の記述は、実施形態を参照することで得ることができる。これら実施形態の幾つかは添付の図面に図示されている。しかし、本発明は同等に有効な別の実施形態を許可できるものであるため、添付の図面は本発明の典型的な実施形態のみを図示したものであり、そのため本発明の範囲を限定するものとして考慮されるものではない点に留意されたい。
[0010]理解を促進するために、可能な場合には、複数の図面に共通する同一の要素を指定するために同一の参照符号を使用している。一実施形態の幾つかの要素は別の実施形態にも有利に組み入れられると考えられる。
詳細な説明
[0011]本発明の実施形態は、摂氏約550度の温度を利用して、窒化シリコンなどのシリコン含有膜を基板上に堆積させる方法を提供する。本発明は、図1に図示したシングルウェーハ熱化学気相堆積(処理)チャンバ100を参照して説明されているが、本方法は、バッチ堆積システムを含む他の堆積システムにおいても有利に実施することができると考えられる。内部で窒化シリコン堆積処理が実行され得る1つの処理チャンバは、カリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なSiNgen(登録商標)Plusチャンバであってもよい。本発明の実施に適合できる別システムの例には、とりわけ、Tokyo Electron Limitedから入手可能なTELFORMULAバッチ炉;Applied Materials,Inc.から入手可能なFLEXSTAR(登録商標)ミニバッチ式シリコン堆積システム;ネバダ州にあるASM InternationalのEPSILON(登録商標)シングルウェーハエピタキシャルリアクタ(Single−Wafer Epitaxial Reactors)が含まれる。
[0012]窒化シリコン材料を堆積させる他の有用な処理には、熱CVD以外にもパルスCVD、原子層堆積(ALD)が含まれる。パルスCVD処理の最中に、シリコン前駆物質や反応物のような試薬が処理チャンバ内に共流され、律動的に送られる。また、ALD処理の最中に、シリコン前駆物質や反応物のような試薬が処理チャンバ内に独立的および連続的に律動的に送られる。プラズマ拡張した堆積技術は、ALD処理またはCVD処理の最中に使用することができる。本明細書で説明している堆積処理の最中に、1枚の基板または1バッチの基板にシリコン含有材料を堆積させることができる。
[0013]図1の実施形態において、処理チャンバ100は、ポンプシステム138、制御装置146、ガスパネル136に結合したチャンバ本体102を含む。チャンバ本体102は、壁106、底部108、および内部容量104を画成する蓋110を有する。本体102の壁106は熱規制されていてもよい。一実施形態では、複数の導管112が壁106内に配置されており、チャンバ本体102の温度を規制する熱移送流体を循環させるように構成されている。壁106はさらに、基板122のようなワークピースの処理チャンバ100に対する入出を促進するように構成された基板アクセスポート128を含む。
[0014]チャンバ本体102の内部容量104内には基板支持ペデスタル124が配置されており、処理中に基板122を支持する。基板支持ペデスタル124は、基板122の温度を規制し、および/または処理チャンバ100の内部容量104を加熱するように構成された加熱器120を含む。図1に描かれているこの実施形態では、加熱器120は、電源116に結合し、基板を少なくとも摂氏550度の温度にまで加熱できる抵抗性の加熱要素である。
[0015]基板支持ペデスタル124にはペデスタルリフトアセンブリ130が結合しており、このペデスタルリフトアセンブリ130は、上昇処理位置(図1に示す)と、基板アクセスポート128によってペデスタル124上に配置された基板122への接近を促進する降下位置との間でペデスタル124の上昇を制御するように構成されている。ペデスタルリフトアセンブリ130は、可撓性の蛇腹132によってチャンバ本体102の底部108に密封結合している。場合により、ペデスタルリフトアセンブリ130を、処理中にペデスタル124を回転させるように構成することもできる。
[0016]ガスパネル136は処理チャンバ100に結合されており、液体および/またはガス形式の処理化学物質、さらにその他のガスを、チャンバ本体の内部容量124に提供するように構成されている。図1に描かれた実施形態では、ガスパネル136は、選択された液体化学物質源から生成された液体噴射器からの処理化学物質、あるいは混合処理ガスまたは蒸気を移送するために使用されるガスライン140によって、チャンバ本体102の蓋110に形成された流入ポート134に結合されている。流入ポート134は、チャンバ本体102のこれ以外の1または複数の場所を通って形成されていてもよいことが考えられる。
[0017]チャンバ本体102にシャワーヘッド144が結合しているため、ガスパネルまたは液体噴射器136により提供されるガスまたは蒸気の、処理チャンバ100の内部容量104内への均一な流れ分布が拡張される。シャワーヘッド144は多孔領域154を含む。この多孔性領域154に形成された孔のサイズ、外形、個数、分布は、シャワーヘッド144を通って基板122へ到達するガスの、事前定義された分布を提供するように構成されている。
[0018]基板支持ペデスタル124の上方面から基板122を分離させることで、アクセスポート128を通ってチャンバ本体内に入るロボット(図示せず)との間での基板のハンドオフを促進するために、複数のリフトピン114(このうち1つを図1に示す)が設けられている。図1で描いた実施形態では、基板支持ペデスタル124の下にリフト板118が配置されており、ペデスタル124が降下されると、ペデスタル124が下方移動を完了する前に、リフトピン114がリフト板118と接触するように配列されている。ペデスタル124がリフトピン114をペデスタルの上方面から延ばしながら降下し続ける際に、リフト板118がリフトピン114を支持する。リフト板118の位置および/またはリフトピン114の長さは、ペデスタル124が降下位置にある時に、基板122が基板支持ペデスタル124から離間するようになり、アクセスポート128とほぼ整列できるように構成されている。
[0019]ポンプシステム138は、チャンバ本体102内に形成されたポンプポート126に結合されている。一般的に、ポンプシステム138は、処理チャンバ100の内部容量104内の圧力を制御するように配列されたスロットル弁と1つ以上のポンプとを含む。内部容量104からポンプポート126へ流れるガスがポンプリング142を通過して送られることで、基板122の表面にかけてガス流の均一性が拡張される。本発明から恩典を得るように適合できる1つのポンプリングが、2004年10月4日に提出された米国特許第10/911,208に説明されている。この特許の全体は参照によって本明細書に組み入れられる。
[0020]以下で説明する窒化シリコン堆積処理の制御を促進するために、制御装置146は処理チャンバ100の様々な構成部品に結合されている。一般的に、制御装置146は中央処理ユニット(CPU)150、メモリ148、支援回路152を含む。CPU150は、様々なチャンバおよびサブプロセッサを制御するための工業設定に使用できる、あらゆるコンピュータプロセッサ形式のうち1つであってもよい。メモリ148またはコンピュータ読み出し可能な媒体は1つ以上の容易に入手可能なメモリ、例えばランダム・アクセス・メモリ(RAM)、読み出し専用メモリ(ROM)、フロッピーディスク、ハードドライブ、フラッシュメモリ、あるいは他の任意形式のローカルまたは遠隔のデジタル記憶装置であってもよい。支援回路152は、プロセッサを従来の方法でサポートするCPU150に結合している。これらの支援回路152は、キャッシュ、電源、クロック回路、入力/出力回路要素、サブシステムなどを含む。一般的に、以下で説明するシリコン含有材料堆積処理200のような処理は、典型的にソフトウェアルーチンとしてメモリ148に格納される。このソフトウェアルーチンは、CPU150によって制御されるハードウェアから離れた場所に配置された第2CPU(図示せず)に格納され、および/または、これによって実行されることもできる。本発明の堆積処理は、ソフトウェアルーチンとして実現されるものとして説明されているが、開示される幾つかの方法ステップは、ハードウェアにおいて、ならびにソフトウェア制御装置によって実行することができる。そのため、本発明をシステムコンピュータ上で実現する場合にはソフトウェアとして、ハードウェア内ではアプリケーション特化型の集積回路または別タイプのハードウェア実現として、あるいはソフトウェアとハードウェアの組み合わせとして実現することができる。
[0021]図2は、処理チャンバ100内、あるいは他の適切な設備内で実現できるシリコン含有材料堆積処理200の一実施形態のフロー図である。方法200は、基板支持ペデスタル124上に基板122を置くステップ202から開始する。上部において本発明の窒化シリコン堆積処理の実施形態が実施される基板122には、結晶シリコン(例えば、Si<100>またはSi<111>)、酸化シリコン、ストレインド・シリコン、SOI、シリコンゲルマニウム、ドープまたは無ドープ・ポリシリコンのような半導体ウェーハが含まれるが、これに限定されるものではない。上にシリコン含有層を堆積させる基板表面はベアシリコン、誘電性材料、伝導性材料、バリア材料などであってもよい。場合により、シリコン含有材料を堆積させる前の基板122に、研磨、エッチング、還元、酸化、ハロゲン化、水酸化、アニーリング、および/またはベーキングによって事前処置を施しておくことができる。
[0022]ステップ204で、基板122は摂氏約550度未満の温度に加熱される。場合により、製作に伴う要求に応じて、基板122を摂氏550よりも高い温度に加熱してもよい。一実施形態では、基板122は、抵抗性加熱要素(即ち、加熱器120)に電源116からの電力を付加して、基板122を摂氏約300〜500度の温度にまで加熱することによって加熱され、さらに別の実施形態では、基板122は摂氏約450度未満の温度に加熱される。
[0023]ステップ206で、窒素と炭素を含有した化学物質が処理チャンバ100の内部容量に提供される。一般的に、この窒素と炭素を含有した化学物質は、ガスパネル136から流入口104へ提供される。窒素と炭素を含有した化学物質は液体および/またはガスの形式であってもよい。
[0024]一実施形態では、窒素と炭素を含有した化学物質は一般的な化学式、R(C)−CR(N)を有し、ここで、R(C)は水素または他の炭化水素化合物基であり、R(N)は窒素または他の窒素含有化合物基であり、xおよびyは正の整数である。適切な窒素と炭素を含有したガスの例には、とりわけ、(CH−N、HC−NH、メチルアミン、HC−NH−NH、メチルヒドラジン、(HC)−N=N−H、HC≡Nが含まれるが、これらに限定されるものではない。
[0025]窒素と炭素を含有した化学物質は、室温における高い蒸気圧により摂氏500度未満で解離させることが可能な、炭素、窒素、水素を含有した化合物として代替的に特徴付けることができる。適切な窒素と炭素を含有した化学物質の他の例には、とりわけ、CHN(摂氏25度で約353kPaの蒸気圧)、メチルヒドライン(CH、摂氏25度で約66kPaの蒸気圧)、青酸(CHN;摂氏25度で約98.8kPaの蒸気圧)が含まれるが、しかしこれらに限定されるものではない。
[0026]ステップ208では、ガスパネル136からのシャワーヘッド144を介して、少なくとも1つのSi−N結合を有するSi源の化学物質の流れがチャンバ本体102の内部容量104に提供される。Si源の化学物質は液体および/またはガス形式であってもよい。一実施形態では、Si源の化学物質は、(SiR−N、(SiRN−N(SiR、(SiR)N=(SiR)Nのうち少なくとも1つを含み、この場合、Rは水素(H)であるか、またはメチル、エチル、フェニル、第3級炭素、ブチル、およびこれらの組み合わせからなる炭化水素試薬またはフラグメントである。別の実施形態では、Rは1つ以上のハロゲン要素を含み、また水素を含有する。適切なSi源ガスの例には、とりわけ、(SiH−N、(SiHN−N(SiH、(SiH)N=(SiH)N、トリシリルアミンが含まれる。
[0027]ガスパネル136と流入ポート134の間には1本のガスライン140が配置され示されているが、Si源化学物質と、窒素と炭素を含有した化学物質とは、別々のガスラインによって処理チャンバ100に提供されると考えられる。さらに、ガスラインは温度制御されたものであるとも考えられる。またさらに、ステップ206での炭素を含有した化学物質と、ステップ208での窒素および/またはSiを含有した化学物質とを流入ポート134に同時に、あるいは連続して導入することができることも考えられる。このため、ステップ206は、ステップ208の前後のいずれに実行してもよい。さらに、原子層が確実に被覆され、各ステップの間を例えばアルゴンのような望ましい不活性ガスで浄化できるようにするために、ステップ206、208を、化学物質ドーピング時間を選択的に制御する形で実行することが可能である。
[0028]基板処理チャンバ100内でSi源化学物質と、窒素と炭素を含有した化学物質とを組み合わせる際に、加熱した基板122上に、窒化シリコン(Si)膜のようなシリコン含有材料が形成される。堆積されたシリコン含有材料は、反射率、湿式エッチング速度、さらに5A/分よりも早い堆積速度といった優れた膜品質を呈する。一実施形態では、シリコン含有膜を約10〜500A/分で、厚さ約10〜1、000Aにまで堆積させている。上述のとおりに形成されたシリコン含有膜は水素容量が低く、少量の炭素ドーピングを含むため、これによってPMOSデバイス内におけるホウ素保持力が拡張される。ハロゲンを含まないSi源化学物質を利用する実施形態では、向上した湿式エッチング速度の実現が可能である。
[0029]窒素と炭素を含有した化学物質および/またはSi源化学物質の部分圧力を数ミリトールから数百トールに制御するために、さらに、シングルウェーハチャンバ内で総処理圧力を約100ミリトールから740トールに制御するために、ステップ206および/またはステップ208でキャリアガスを提供することができる。別の実施形態では、処理チャンバ内の圧力は約10〜740トールに維持される。キャリアガスは、窒素と炭素を含有した化学物質、および/またはSi源化学物質の部分圧力を、バッチ処理システム内で約100ミリトールから1トールへ制御するために提供されてもよい。適切なキャリアガスの例には、とりわけN、Ar、Heが含まれる。
[0030]別の実施形態では、方法200には、ステップ202で、基板122を摂氏約300〜500度の間の温度、例えば摂氏450度に加熱することが含まれる。ステップ206で、窒素と炭素の化学物質、例えば(CH−Nが処理チャンバ100に提供される。窒素と炭素の化学物質は約100〜3000sccmの間の速度、例えば約1000〜2000sccmの速度で送出される。ステップ208で、Si源の化学物質、例えばトリシリルアミンが約1〜300sccmの速度で、別の例では約13〜130sccmの速度で処理チャンバ100に提供され、キャリアガスをSi源化学物質と組み合わせる実施形態では、液体源の合計速度は約10〜10、000sccmである。一般的に、トリシリルアミンに対する(CH−Nの流量比は、約10:1〜1:1の比に維持される。一実施形態では、トリシリルアミンに対する(CH−Nの流量比は3:1である。
[0031]場合により、酸化シリコンまたはシリコンオキシナイトライドを形成するために、典型的にはステップ206および/またはステップ208で、堆積方法200に酸素前駆物質を追加することができる。本明細書で説明している堆積処理に使用可能な酸素前駆物質には、原子酸素、酸素(O)、オゾン(O)、HO、H、有機過酸化物、アルコール、NO、NO、NO、N、これらの誘導体およびこれらの組み合わせが含まれる。
[0032]方法200を、上述したとおりにシングルウェーハ処理チャンバ100内で実施することで、堆積させた膜の調整、具体的には膜のSi/N/C/H容量を管理および制御する能力が可能になる。膜の相対的なSi、N、C、H容量を制御することで、湿式エッチング速度、乾式エッチング速度、誘電率などといった膜の性質を、特定の用途にあつらえられるようになる。例えば、水素容量を低減することで、より高い引張応力で膜を堆積させられるようになる。
[0033]さらに、N−Si−RまたはN−Si−Si−Rタイプの前駆物質を使用することでSi源分子の解離が低温度にて生じ、これにより低温処理が可能になる。これは、官能基(Si−RまたはSi−Si)の結合がSi−N結合よりも弱いためである。さらに、本発明で使用している、Si源内のN−Si−RまたはN−Si−Si−RからのRもしくはSi−Rと反応する、炭素と水素官能基を含有する窒素含有源化学物質によって、R基が解離するため、窒素源化学物質と反応させない場合よりも簡単に除去できるようになる。そのため、この処理において、窒素源化学物質はさらなる窒素および炭素源を最終膜に提供することに加え、触媒としての機能も果たす。そのため、窒素源は、例えば摂氏約550未満といった低温での処理を有利に促進する。
[0034]上述の方法200を利用して堆積させたシリコン含有材料は、これの幾つかの物理性質のために、電子特徴/デバイス全体にかけて使用される。例えば窒化シリコンのようなシリコンと窒素を含有した材料には、電気絶縁体ならびにバリア材料がある。バリア性質により、例えばゲート材料と電極の間、または低誘電率の多孔性材料と銅の間といった、異類の材料または要素の間にシリコンと窒化物を含有した材料を置いた場合に、これらの間でのイオン拡散が禁じられる。このため、シリコンと窒化物を含有した材料をバリア層、保護層、オフセット層、スペーサ層、キャッピング層内に使用できる。窒化シリコン材料の別の物理性質は高い硬度である。幾つかの用途では、シリコン含有材料を、様々な光学デバイスならびにツール用の保護コーティングとして使用することができる。窒化シリコンのようなシリコンと窒化物を含有した材料の別の物理性質は酸化シリコンに対するエッチングの選択性である。即ち、窒化シリコンは、過剰エッチングや過少エッチングすることなくエッチング深度を正確に制御するためのエッチング停止層として、酸化シリコン誘電層の下に使用することができる。シリコンと窒素を含有した材料のさらに別の物理性質は、選択した用途に望ましい高い引張応力といった膜応力を調節するために、濃縮した炭素と水素を使用できることである。
[0035]幾つかの実施形態では、図3A〜図3B、図4で描かれているように、MOSFETおよび双極トランジスタ内で窒化シリコン材料を様々な層として堆積させることができる。例えば、図3Aは、リセスおよびエレベートソース/ドレインを含有するMOSFET内で堆積させた窒化シリコン材料を示している。ソース/ドレイン層312は、基板310のイオン注入によって形成される。一般的に基板310はドープしたn型材料であり、ソース/ドレイン層312はドープしたp型材料である。通常、Si、SiGe、SiGeCであるシリコン含有層313は、CVD方法により、ソース/ドレイン層312上に、または直接基板310上に、選択的およびエピタキシャル的に堆積させられる。シリコン含有層314はまたシリコン含有層313上にも、CVD方法によって、選択的およびエピタキシャル的に堆積させる。ゲートバリア層318は、区画化されたシリコン含有層313をつなぐ。一般的に、ゲートバリア層318は酸化シリコン、シリコンオキシナイトライド、酸化ハフニウム、ハフニウムシリケートからなっていてもよい。通常は窒化物/酸化物/窒化物の積層(例えば、Si/SiO/Si)のような隔離材料であるスペーサ316が、ゲートバリア層318を部分的に包囲している。あるいは、スペーサ316は窒化シリコン材料の同種の層、例えばここ説明している様々な方法で堆積させた窒化シリコンやシリコンオキシナイトライドであってもよい。ゲート層322(例えば、ポリシリコン)はスペーサ316と、いずれかの側に堆積させたオフセット層とを有していてもよい。オフセット層320は、本明細書で説明している様々な処理で堆積させた窒化シリコンのような窒化シリコン材料からなっていてもよい。
[0036]図3Bは、ソース/ドレイン用のエッチング停止層324と、MOSFET上にかけて堆積させたエッチングを介したゲート接触とを示す。エッチング停止層324は、本明細書で説明している様々な方法で堆積させた窒化シリコンのような窒化シリコン材料からなっていてもよい。エッチング停止層324上にプレ金属誘電層326(例えば、酸化シリコン)が堆積されており、このプレ金属誘電層326はその上に接触穴ビア328を含有する。
[0037]別の実施形態において、図4は、本発明の様々な実施形態を使用して、双極トランジスタ内の幾つかの層として窒化シリコン材料を描いている。基板430上に事前に堆積させたn型の収集層432上に、シリコン含有化合物層434が堆積させている。トランジスタはさらに、隔離層433(例えば、SiO、SiO、Si)、接触層436(例えば、重ドープしたポリSi)、オフセット層438(例えば、Si)、第2隔離層440(例えば、SiO、SiO、Si)を含む。隔離層433、440とオフセット層438は、例えばシリコンオキシナイトライド、炭窒化シリコン、および/または、本明細書で説明している様々な処理によって堆積させた窒化シリコンのような窒化シリコン材料として、独立的に堆積させることができる。一実施形態では、隔離層433、440はシリコンオキシナイトライドであり、オフセット層388は窒化シリコンである。
[0038]このようにして、窒化シリコンのようなシリコン含有層を堆積させる方法を提供した。上述した方法は、摂氏約550度未満の堆積温度を使用するために低いサーマルバジェットを必要とする微小寸法を有するデバイス製作に適切であり、これにより、サブ90nm技術を使用した頑丈な回路製作が有利に促進される。
[0039]前出の説明は本発明の実施形態に関するものであるが、本発明の基本範囲から逸脱しない限り、本発明のその他およびさらなる実施形態の考案が可能であり、その範囲は、続く特許請求の範囲によって決定される。
本発明の窒化シリコンを堆積させる方法を内部にて実行できる堆積チャンバの一実施形態の簡略化した断面図である。 窒化シリコン膜を堆積させる方法の一実施形態のフロー図である。 図2の方法に従って少なくとも部分的に堆積させた窒化シリコン層を有するMOSFETトランジスタの断面図である。 図2の方法に従って少なくとも部分的に堆積させた窒化シリコン層を有するMOSFETトランジスタの断面図である。 図2の方法を利用して、少なくとも部分的に堆積させた窒化シリコン層を有する例証的な双極トランジスタの断面図である。
符号の説明
100…処理チャンバ、102…チャンバ本体、104…内部容量、106…壁、108…底部、110…蓋、112…導管、114…リフトピン、118…リフト板、120…加熱器、122…基板、124…基板支持ペデスタル、126…ポンプポート、128…基板アクセスポート、130…ペデスタルリフトアセンブリ、132…蛇腹、134…流入ポート、136…ガスパネル、138…ポンプシステム、144…シャワーヘッド、146…制御装置、148…メモリ、150…中央処理ユニット(CPU)、152…支援回路、154…多孔性領域、200…シリコン含有材料堆積処理。

Claims (29)

  1. 基板上にシリコン含有膜を堆積させる方法であって、
    処理チャンバ内に配置した基板を摂氏約550度未満の温度に加熱するステップと、
    前記処理チャンバ内に、窒素と炭素を含有した化学物質を流すステップと、
    前記処理チャンバ内に、シリコン含有源化学物質と窒化シリコンの化学結合物を流すステップと、
    前記基板上にシリコンと窒素を含有した膜を堆積させるステップと、
    を備える方法。
  2. 前記シリコン含有源化学物質が、(SiR−N、(SiRN−N(SiR、(SiR)N=SiR)Nのうちの少なくとも1つであり、ここで、Rは水素(H)、またはメチル、エチル、第3ブチルフェニル、およびこれらの組み合わせからなる炭化水素試薬またはフラグメントである、請求項1に記載の方法。
  3. 前記Rはハロゲンを含まず、水素を含有する、請求項2に記載の方法。
  4. 前記Rが1つ以上のハロゲン要素を含む、請求項2に記載の方法。
  5. 前記シリコン含有源化学物質が(SiH−Nである、請求項1に記載の方法。
  6. 前記シリコン含有源化学物質が(SiHN−N(SiHである、請求項1に記載の方法。
  7. 前記シリコン含有源化学物質が(SiH)N=(SiH)Nである、請求項1に記載の方法。
  8. 前記シリコン含有源化学物質がトリシリルアミンである、請求項1に記載の方法。
  9. 前記窒素と炭素を含有した化学物質が化学式R(C)−CR(N)を有し、ここで、R(C)は水素またはこれ以外の炭化水素化合物基であり、R(N)は窒素またはこれ以外の窒素含有化合物基である、請求項1に記載の方法。
  10. 前記窒素と炭素を含有したガスがHC−NHである、請求項1に記載の方法。
  11. 前記窒素と炭素を含有した化学物質がメチルアミンである、請求項1に記載の方法。
  12. 前記窒素と炭素を含有した化学物質がHC−NH−NHである、請求項1に記載の方法。
  13. 前記窒素と炭素を含有した化学物質がメチルヒドラジンである、請求項1に記載の方法。
  14. 前記窒素と炭素を含有した化学物質が(HC)−N=N−Hである、請求項1に記載の方法。
  15. 前記窒素と炭素を含有した化学物質がHC≡Nである、請求項1に記載の方法。
  16. 前記窒素と炭素を含有した化学物質が、摂氏500度未満の温度で、室温における高い蒸気圧によって解離させることが可能な炭素、窒素、水素を含有した化合物である、請求項1に記載の方法。
  17. 前記窒素と炭素を含有した化学物質が、CHN、CH、CHNのうちの少なくとも1つである、請求項1に記載の方法。
  18. 前記基板を加熱するステップがさらに、
    前記基板を、摂氏約300〜500度の温度に加熱する工程と、
    前記処理チャンバ内部の圧力を約10〜740トールに維持する工程と、
    を備える、請求項1に記載の方法。
  19. 前記処理チャンバ内に酸素前駆物質を流すステップをさらに備える、請求項1に記載の方法。
  20. 前記酸素前駆物質が、原子酸素、酸素(O)、オゾン(O)、HO、H、有機過酸化物、アルコール、NO、NO、NO、N、およびこれらの誘導体のうちの少なくとも1つである、請求項19に記載の方法。
  21. 前記シリコン含有源化学物質が、(SiR−N、(SiRN−N(SiR、(SiR)N=(SiR)Nのうちの少なくとも1つであり、ここでRは水素(H)であるか、またはメチル、エチル、第3フェニルブチル、およびこれらの組み合わせからなる炭化水素試薬またはフラグメントであり;前記窒素と炭素を含有した化学物質が化学式R(C)−CR(N)を有し、この場合、R(C)が水素または別の炭化水素化合物基であり、R(N)が窒素または別の窒素含有化合物基である、請求項1に記載の方法。
  22. 前記基板を加熱するステップがさらに、
    前記基板を摂氏約300〜500の温度に加熱する工程を備える、請求項21に記載の方法。
  23. 前記シリコン含有膜を堆積させるステップがさらに、
    シリコン含有材料の1つの原子層を堆積させる、請求項1に記載の方法。
  24. 基板上にシリコン含有層を堆積させる方法であって、
    処理チャンバ内に配置した基板を、摂氏約550度未満の温度に加熱するステップと、
    前記処理チャンバ内の圧力を約10〜740トールに維持するステップと、
    前記処理チャンバ内に(CH−Nを流すステップと、
    前記処理チャンバをアルゴンで浄化するステップと、
    前記処理チャンバ内にトリシリルアミンを流すステップと、
    前記処理チャンバをアルゴンで浄化するステップと、
    シリコンと窒素を含有した層を前記基板上に堆積させるステップと、
    を備える方法。
  25. 前記基板を加熱するステップがさらに、
    前記基板を摂氏約400〜500度の温度に加熱する工程を備える、請求項24に記載の方法。
  26. 前記基板を加熱するステップがさらに、
    前記基板を摂氏約450度未満の温度に加熱する工程を備える、請求項24に記載の方法。
  27. 前記処理チャンバ内に酸素前駆物質を流すステップをさらに備える、請求項24に記載の方法。
  28. 前記酸素前駆物質が、原子酸素、酸素(O)、オゾン(O)、HO、H、有機過酸化物、アルコール、NO、NO、NO、N、およびこれらの誘導体のうちの少なくとも1つである、請求項27に記載の方法。
  29. 前記窒素と炭素を含有するガスは(CH−Nである、請求項1に記載の方法。
JP2008516930A 2005-06-17 2006-06-05 シリコンベースの誘電性化学気相堆積の方法 Withdrawn JP2008547199A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/155,646 US7473655B2 (en) 2005-06-17 2005-06-17 Method for silicon based dielectric chemical vapor deposition
PCT/US2006/021787 WO2006138103A2 (en) 2005-06-17 2006-06-05 Method for silicon based dielectric chemical vapor deposition

Publications (1)

Publication Number Publication Date
JP2008547199A true JP2008547199A (ja) 2008-12-25

Family

ID=37570963

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008516930A Withdrawn JP2008547199A (ja) 2005-06-17 2006-06-05 シリコンベースの誘電性化学気相堆積の方法

Country Status (6)

Country Link
US (2) US7473655B2 (ja)
JP (1) JP2008547199A (ja)
KR (1) KR20080006019A (ja)
CN (1) CN101199044A (ja)
TW (1) TWI343952B (ja)
WO (1) WO2006138103A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014179607A (ja) * 2013-03-14 2014-09-25 Asm Ip Holding B V 低温でのSiNの蒸着用Si前駆体

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
KR101008490B1 (ko) * 2008-05-19 2011-01-14 주식회사 테스 저온 화학기상증착에 의한 산화막 증착 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9064694B2 (en) 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP2016507001A (ja) * 2012-12-21 2016-03-07 プラサド ナーハー ガジル セラミック薄膜の低温堆積方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9177783B2 (en) * 2013-12-10 2015-11-03 Applied Materials, Inc. Substituted silacyclopropane precursors and their use for the deposition of silicon-containing films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN110892504B (zh) * 2017-07-06 2023-10-13 应用材料公司 形成多个沉积半导体层的堆叠结构的方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020028064A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. On stack overlay improvement for 3d nand
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11107674B2 (en) 2019-01-24 2021-08-31 Applied Materials, Inc. Methods for depositing silicon nitride
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN110579516A (zh) * 2019-09-02 2019-12-17 青岛歌尔智能传感器有限公司 二氧化氮气体检测器件及其制作方法、以及电子产品
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
DE4214944A1 (de) * 1992-05-06 1993-11-11 Bayer Ag Verfahren zur Herstellung von anorganischen Polymeren und deren Verwendung
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
AU2002306436A1 (en) * 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014179607A (ja) * 2013-03-14 2014-09-25 Asm Ip Holding B V 低温でのSiNの蒸着用Si前駆体

Also Published As

Publication number Publication date
CN101199044A (zh) 2008-06-11
US20090111284A1 (en) 2009-04-30
WO2006138103A2 (en) 2006-12-28
TW200704819A (en) 2007-02-01
US7473655B2 (en) 2009-01-06
KR20080006019A (ko) 2008-01-15
US20060286818A1 (en) 2006-12-21
TWI343952B (en) 2011-06-21
WO2006138103A3 (en) 2007-08-16

Similar Documents

Publication Publication Date Title
JP2008547199A (ja) シリコンベースの誘電性化学気相堆積の方法
US7365029B2 (en) Method for silicon nitride chemical vapor deposition
US7294581B2 (en) Method for fabricating silicon nitride spacer structures
US7416995B2 (en) Method for fabricating controlled stress silicon nitride films
JP5219815B2 (ja) 引張応力を有するシリコン酸窒化膜を形成する方法
US10269571B2 (en) Methods for fabricating nanowire for semiconductor applications
US7465669B2 (en) Method of fabricating a silicon nitride stack
CN103069552B (zh) 包括具有在其侧壁上增强的氮浓度的SiON栅电介质的MOS晶体管
KR100871006B1 (ko) 얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화
KR20070013337A (ko) 높은 k 유전체 물질 상에 실리콘 옥시니트라이드 층의형성
TWI687536B (zh) 沈積鉭鋁薄膜的製程
WO2003060184A9 (en) Method and apparatus for forming silicon containing films
US20120201959A1 (en) In-Situ Hydroxylation System
WO2005096358A1 (en) A silicon germanium surface layer for high-k dielectric integ ration
CN117832071A (zh) 通过选择性沉积的硅化物膜
US20200335583A1 (en) Methods for forming device isolation for semiconductor applications
US20130087099A1 (en) In-Situ Hydroxylation Apparatus
TW202409321A (zh) 用於高品質選擇性氮化矽沉積的集成方法及工具
CN113454755A (zh) 多晶硅衬垫

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20090901