CN117832071A - 通过选择性沉积的硅化物膜 - Google Patents

通过选择性沉积的硅化物膜 Download PDF

Info

Publication number
CN117832071A
CN117832071A CN202311645258.2A CN202311645258A CN117832071A CN 117832071 A CN117832071 A CN 117832071A CN 202311645258 A CN202311645258 A CN 202311645258A CN 117832071 A CN117832071 A CN 117832071A
Authority
CN
China
Prior art keywords
substrate
metal
exposing
silicon
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311645258.2A
Other languages
English (en)
Inventor
S·斯里尼瓦桑
A·玛里克
N·布赖尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117832071A publication Critical patent/CN117832071A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Composite Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

公开了用于形成硅化物膜的方法。公开了在被进一步处理以形成硅化物膜的硅表面上选择性地沉积含金属膜的方法。本公开的具体的实施方式涉及在FinFET结构上形成硅化物膜而不在电介质上形成金属层。

Description

通过选择性沉积的硅化物膜
本申请是申请日为2018年12月14日、申请号为201880086359.1、名称为“通过选择性沉积的硅化物膜”的中国专利申请(PCT申请号为PCT/US2018/065686)的分案申请。
技术领域
本公开的实施方式涉及用于形成硅化物膜的方法。更具体地,本公开的实施方式涉及在被进一步处理以形成硅化物膜的硅表面上选择性地沉积膜的方法。
背景技术
鳍式场效应晶体管,也被称为FinFET,是在现代处理器设计中使用的一种类型的非平面或三维晶体管。就像在更早前的平面设计中一样,FinFET典型地构建在SOI(绝缘体上硅)基板上。然而,FinFET设计还使用了上升到绝缘体的水平上方的导电通道,从而形成形状像鳍的薄硅基结构,它被称为栅电极。此鳍状电极允许多个栅极在单个晶体管上操作。与主流CMOS技术相比,FinFET器件还具有显著更快的切换时间和更高的电流密度。
持续存在对新的FinFET设计的需要。具体地,存在对新的材料和表面组合物的需要以允许一组扩展设计选项。选择性沉积已经展示出是有前景的,因为它具有允许在选择表面上沉积膜并简化集成方案的潜力。
材料的选择性沉积可以以多种方式完成。例如,一些工艺可只基于表面的表面化学物质而具有对该表面的固有选择性。这些工艺极其少见并通常需要具有极为不同的表面能的表面,诸如金属和电介质。在FinFET中以及在表面类似(例如,SiO2对SiN)的其他情况下,需要通过采用与一个表面选择性地反应而不与其他表面选择性地反应的表面处理来选择性地阻挡表面,从而在后续沉积工艺期间有效地阻止任何表面反应。然而,一些沉积前驱物没有通过当前技术被有效地阻挡。
因此,本领域中持续存在对抑制在某些表面上的沉积而在其他表面上选择性地沉积膜的方法和材料的需要。
发明内容
本公开的一个或多个实施方式针对一种基板处理方法,包括提供基板,所述基板包括第一半导体表面和第二电介质表面。将所述基板暴露于阻挡化合物以相对于所述第一表面在所述第二表面上选择性地形成阻挡层。将所述基板暴露于钛前驱物以相对于所述第二表面在所述第一表面上选择性地沉积含Ti层。加热所述基板以形成包含钛和硅的经改性的第一表面。
本公开的附加的实施方式针对一种基板处理方法,包括提供基板,所述基板包括第一半导体表面和第二电介质表面。将所述基板暴露于阻挡化合物以在所述第二表面上选择性地形成阻挡层。将所述基板暴露于钛前驱物以相对于所述第二表面在所述第一表面上选择性地沉积含Ti层。将所述基板暴露于锗前驱物以相对于所述第二表面在所述第一表面上选择性地沉积含Ge层。加热所述基板以形成包含钛、锗和硅的经改性的第一表面。
本公开的进一步实施方式针对一种基板处理方法,包括提供基板,所述基板包括第一硅表面和第二氧化硅表面。将所述基板暴露于阻挡化合物以在所述第二表面上选择性地形成阻挡层。将所述基板暴露于钛前驱物以相对于所述第二表面在所述第一表面上选择性地沉积含Ti层。将所述基板暴露于硅前驱物以相对于所述第二表面在所述第一表面上选择性地沉积含Si层。加热所述基板以形成基本上由TiSi2组成的经改性的第一表面。
附图说明
以能够详细地理解本公开的上述特征的方式,可参考实施方式来对上文简要概述的本公开进行更具体的描述,其中一些在附图中示出。然而,应注意,附图仅示出了本公开的典型的实施方式并因此不应视为对本公开的范围的限制,因为本公开可允许其他等效实施方式。
图1示出了根据本公开的一个或多个实施方式的选择性沉积工艺;以及
图2示出了根据本公开的一个或多个实施方式的用于在半导体表面上选择性地沉积含钛层的示例性工艺顺序。
在附图中,类似部件和/或特征可具有相同附图标记。进一步地,相同类型的各种部件可通过在附图标记后加上区分类似部件的划线和第二标记来区分。如果在本说明书中仅使用了第一附图标记,那么不管第二附图标记如何,所述描述适用于具有相同第一附图标记的类似部件中的任一个。
具体实施方式
在描述本公开的若干示例性实施方式之前,应理解,本公开不限于以下描述中阐述的构造或工艺步骤的细节。本公开能够具有其他实施方式并能够以各种方式实践或实施。
本公开的实施方式提供了用于处理基板的方法,所述方法造成在半导体表面而非电介质表面上形成TiSi膜。各种实施方式的工艺使用选择性沉积在基板的被进一步处理的部分上形成膜。
如本文中所使用的,“基板表面”是指基板的任何部分或形成在基板上的材料表面的部分,在所述部分上执行膜处理。例如,其上可执行处理的基板表面包括以下材料,诸如硅、氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石和任何其他材料(诸如金属、金属氮化物、金属合金和其他导电材料),这取决于应用。基板包括但不限于半导体晶片。基板可暴露于预处理工艺以对基板表面进行抛光、蚀刻、还原、氧化、羟化、退火、UV固化、电子束固化和/或烘烤。除了直接地在基板本身的表面上进行的膜处理之外,在本发明中,所公开的膜处理步骤中的任一个还可如下文更详细公开地在形成在基板上的下层上执行,并且术语“基板表面”旨在包括如上下文所指示的此类下层。因此,例如,在膜/层或部分膜/层已经沉积到基板表面上的情况下,新沉积的膜/层的暴露表面就变成了基板表面。基板可具有各种尺寸,诸如200mm或300mm直径晶片,以及矩形或方形窗格。在一些实施方式中,基板包括刚性离散材料。
如本文中所使用的,“原子层沉积”是指将基板顺序地暴露于两种或更多种沉积气体以在基板表面上沉积材料层。如在本说明书和所附权利要求书中所使用的,术语“反应化合物”、“反应气体”、“反应物质”、“前驱物”、“工艺气体”、“沉积气体”等可互换地使用以表示具有能够在化学反应(例如,取代、消除、添加、氧化、还原)中与基板表面或在基板表面上的材料反应的物种的物质。基板或基板的部分顺序地暴露于被引入处理腔室的反应区中的两种或更多种反应化合物。在时域工艺中,暴露于每种反应化合物以一定时间延迟分开,从而允许每种化合物与基板表面反应并然后从处理腔室被净化。在空间工艺中,基板表面的不同部分或在基板表面上的材料同时地暴露于两种或更多种反应化合物,使得基板上的任何给定点基本上不同时地暴露于多于一种反应化合物。如在本说明书和所附权利要求书中所使用的,在这方面所使用的术语“基本上”如本领域的技术人员将理解的那样表示,存在基板的一小部分可能因扩散而被同时暴露于多种反应气体的可能性,并且同时暴露是不想要的。
在时域工艺的一个方面中,将第一反应气体(即,第一前驱物或化合物A)脉冲到反应区中,之后是第一时间延迟。接下来,将第二前驱物或化合物B脉冲到反应区中,之后是第二延迟。在每个时间延迟期间,将净化气体(诸如氩)引入处理腔室中以净化反应区或以其他方式从反应区去除任何残余反应化合物或反应副产物。作为可替代地,净化气体可在整个沉积工艺中持续地流动,使得在反应化合物的脉冲之间的时间延迟期间仅净化气体流动。替代地脉冲反应化合物,直到在基板表面上形成所期望的分子层或层厚度。在任一场景中,脉冲化合物A、净化气体、化合物B和净化气体的工艺是一个循环。循环可从化合物A或化合物B开始,并继续该循环的相应次序,直到实现具有预定厚度的膜为止。
在空间工艺的实施方式中,第一反应气体和第二反应气体被同时递送到反应区,但是被惰性气帘和/或真空帘分开。基板相对于气体递送设备移动,使得在基板上的任何给定点都暴露于第一反应气体和第二反应气体,尽管并不是同时地暴露于第一反应气体和第二反应气体。
本公开的一个或多个实施方式有利地提供了处理基板的方法,所述方法包括在半导体表面(例如,硅)上选择性地沉积膜而在电介质表面(例如,氧化硅)上基本上没有沉积。在一些实施方式中,通过组合选择性表面阻挡步骤来有利地实现选择性沉积,该选择性表面阻挡步骤利用了与电介质表面选择性地反应以形成阻挡表面的阻挡化合物。沉积在保持未被阻挡的其他基板表面上进行。
可实施本公开的一个或多个实施方式的一般表面机制以阻挡电介质表面并在随后当在半导体表面上沉积膜的同时停止或最小化在其上的这些膜的沉积。不受任何特定操作理论的束缚,认为当本文中所描述的阻挡化合物与本文中所描述的前驱物一起使用时,可防止前驱物与电介质表面反应。
在一些实施方式中,可使电介质表面基团与具有与-OH封端有反应性且不与-H封端有反应性的阻挡分子反应。这些分子可经由气相递送以溶液的形式或以纯态的形式被引入到基板。在选择性表面阻挡之后,可采用ALD或CVD工艺在半导体表面上选择性地生长膜。
参考图1和图2,本公开的一个或多个实施方式针对基板处理的方法200。该方法包括提供基板,所述基板包含第一材料20和第二材料30。如以此方式使用的,术语“提供基板”意味着将基板放置在用于进行处理的位置(例如,在处理腔室内)。在一些实施方式中,第一材料20包括半导体,并且第一材料20具有半导体表面25。在一些实施方式中,第二材料30包括电介质,并且第二材料30具有电介质表面35。就此而言,半导体表面25可被称为第一半导体表面。类似地,电介质表面35可被称为第二电介质表面。应理解,本公开不要求多个电介质表面。
第一材料20可以是任何合适的半导体材料。在一些实施方式中,第一材料20基本上由硅组成。如在本说明书和所附权利要求书中所使用的,“基本上由所陈述的组合物组成”的材料意味着材料的大于或等于约95%、98%或99%是所陈述的组合物。
第二材料30可以是任何合适的电介质材料。在一些实施方式中,第二材料30包括氧化硅。在一些实施方式中,第二材料30基本上由SiO2组成。就此而言,氧化硅是包含硅和氧的任何合适的材料。在一些实施方式中,第二表面35基本上由硅和氧组成。在一些实施方式中,第二表面35的材料是化学计量的氧化硅。在一些实施方式中,第二表面35中的硅与氧的比率为约1:2。在一些实施方式中,硅原子与氧原子的比率为非化学计量比。在一些实施方式中,第二表面35中的硅与氧的比率小于1:2。在一些实施方式中,第二表面35中的硅与氧的比率大于1:2。
图1示出了根据本文中所描述的一个或多个实施方式的具有在其上形成的三维(3D)结构的基板10的示意性截面图。在一些实施方式中,基板10包括从基底材料层延伸的3D结构。在一些实施方式中,基底材料层可以是电介质材料,诸如氧化物、氮化物等。例如,基板10可以是绝缘体上硅基板。本文中所描述的实施方式一般参考300mm圆形基板进行,然而,设想的是,各种其他基板尺寸可受益于本文所描述的实施方式。
基板的3D结构可通过各种图案化和蚀刻工艺形成在基底材料层上。在一些实施方式中,可以以适合于实施作为互补金属氧化物半导体(CMOS)晶体管中的鳍式场效应晶体管(FinFET)的尺寸形成3D结构,然而,其他晶体管类型、基板特征和无特征的基板表面也可受益于本文中所描述的实施方式。在一些实施方式中,3D结构可适合于并可具有与在当前技术节点和先进技术节点(诸如低于10nm的节点)中的使用相称的尺寸。
3D结构可以是与基底材料层相同的材料,或者可以是与基底材料层不同的材料(如图1所示)。在一些实施方式中,3D结构可由硅形成。在一些实施方式中,3D结构从基底材料层延伸并由沟槽间隔开。
在202,将包括第一表面25和第二表面35的基板10暴露于阻挡化合物。阻挡化合物可以是可与第二表面35而非第一表面25反应的任何合适的化合物。阻挡化合物与第二表面35反应以在第二表面35上形成阻挡层40。
在一些实施方式中,阻挡化合物包含通式R3Si-X的化合物,其中每个R独立地为C1-C4烷基,并且X为离去基团。如就此所使用地,C1-C4烷基表示具有1-4个碳原子的饱和碳链。在一些实施方式中,这些碳链是直链的。在一些实施方式中,这些碳链是支链的。在一些实施方式中,每个R为甲基。在一些实施方式中,X选自卤化物、叠氮化物、氨基、酰肼、氰化物或异氰酸酯基团。
在一些实施方式中,X包含具有直链C1-6烷基或支链C1-4烷基基团的伯、仲或叔胺。在一些实施方式中,X是具有多达6元环的环胺。在一些实施方式中,X包含环状吡咯基基团(-N(CH2)4)。在一些实施方式中,X包含环状吡咯烷基基团(-N(CH)4)。在一些实施方式中,阻挡化合物包括三甲基甲硅烷基吡咯烷(CH3)3SiN(CH2)4。在一些实施方式中,阻挡化合物基本上由三甲基甲硅烷基吡咯烷组成。三甲基甲硅烷基吡咯烷是式I的化合物:
如以此方式所使用的,术语“基本上由……组成”意味着以摩尔计,阻挡化合物的反应组分(不包括惰性、稀释或载体物质)大于或等于所述物质的约95%、98%或99%。
阻挡层可在任何合适的温度下形成。在一些实施方式中,将基板维持在范围为约200℃至约500℃的温度、范围为约250℃至约450℃的温度、范围为约250℃至约400℃的温度或范围为约300℃至约450℃的温度下。在一些实施方式中,将基板维持在小于或等于约450℃、小于或等于约400℃、小于或等于约375℃、小于或等于约350℃、小于或等于约300℃或小于或等于约250℃的温度下。在一些实施方式中,将基板维持为在大于或等于约200℃、大于或等于约225℃、大于或等于约250℃、大于或等于约300℃或大于或等于约350℃的温度下。
在204,在形成阻挡层40之后,可在第一表面25上执行金属层50的选择性沉积。可通过技术人员所已知的任何合适的沉积技术来沉积金属层50。合适的技术包括但不限于化学气相沉积、原子层沉积或物理气相沉积。在一些实施方式中,金属层50包含钛,并且金属层50通过原子层沉积来进行沉积。
以下描述公开了用于在基板10上沉积金属层的一般工艺。在一些实施方式中,金属是钛,并且金属层是含钛层50。在一些实施方式中,金属层还包含与钛合金化的附加金属。
在一些实施方式中,锗与钛合金化。在一些实施方式中,锗与钛分开地沉积以形成双层膜。
将包括第一表面25和阻挡层40的基板10暴露于金属前驱物。在一些实施方式中,金属前驱物化学吸附到第一表面25上以在第一表面25上沉积金属物质层。在这些实施方式中,在第一表面25上的金属物质层与试剂反应以形成金属膜。在一些实施方式中,同时将金属前驱物和试剂暴露于基板10并反应以在第一表面25上形成金属膜。在一些实施方式中,分开地将金属前驱物和试剂各自暴露于基板10。在一些实施方式中,同时地将金属前驱物和试剂暴露于基板10。一些实施方式通过时域ALD工艺沉积金属膜50。一些实施方式通过空间ALD工艺沉积金属膜50。
金属膜是用于描述含金属的材料的通用术语。在一些实施方式中,金属膜是纯金属膜。如就此所使用地,“纯金属膜”表示以原子计(不包括氢),金属原子大于或等于金属膜的约98%、99%或99.5%。在一些实施方式中,金属膜包含其他原子。在一些实施方式中,金属膜包含氧、氮、碳、硅、硼或锗中的一种或多种。
金属前驱物可以是可与试剂反应以形成金属膜50的任何合适的化合物。在一些实施方式中,金属前驱物包含至少一种胺配体。在一些实施方式中,金属前驱物包含式M(NR 2)a的化合物,其中每个R’独立地为H、C1-C4烷基或三甲基甲硅烷基,并且a大于或等于1。如就此所使用地,C1-C4烷基表示具有1-4个碳原子的饱和碳链。在一些实施方式中,这些碳链是直链的。在一些实施方式中,这些碳链是支链的。
在一些实施方式中,R’基本上由乙基基团组成。在一些实施方式中,R’基本上由甲基基团组成。在一些实施方式中,在单个配体内的R’基团是相同的(例如,N(CH3)2)。在一些实施方式中,在单个配体内的R’基团是不同的(例如,N(CH3)(C2H5))。在一些实施方式中,金属前驱物基本上由四(乙基甲基氨基)钛组成。如以此方式所使用的,术语“基本上由……组成”表示以摩尔计,金属前驱物的反应组分(不包括惰性、稀释或载体物质)大于或等于所述物质的约95%、98%或99%。
在一些实施方式中,金属前驱物包含至少一种卤化物配体。在一些实施方式中,金属前驱物不包含任何金属卤化物。在一些实施方式中,膜包含钛,并且金属前驱物不包含任何TiCl4
在一些实施方式中,金属前驱物包含至少一种氧代配体。在一些实施方式中,氧代配体具有通式-OR*,其中R*是C1-C8烷基基团。在一些实施方式中,至少一个氧代配体选自由以下项组成的组:甲氧基、乙氧基、丙氧基、异丙氧基、丁氧基、叔丁氧基和乙基己氧基。
金属前驱物的金属可以是任何合适的金属。在一些实施方式中,金属前驱物的金属选自Ti、Zr、Hf或Ta。在一些实施方式中,金属前驱物基本上由包含Ti的化合物组成。就此而言,金属前驱物可被称为钛前驱物。在一些实施方式中,金属前驱物基本上由包含Zr的化合物组成。在一些实施方式中,金属前驱物基本上由包含Hf的化合物组成。在一些实施方式中,金属前驱物基本上由包含Ta的化合物组成。
试剂可以是可与金属前驱物反应以形成金属膜50的任何合适的化合物。在一些实施方式中,将试剂暴露于与金属前驱物分开的基板。合适的试剂可包括但不限于氢、氨、肼、肼衍生物、硅烷、卤代硅烷、聚硅烷、硼烷、卤代硼烷和用于制作金属、金属氮化物、金属硅化物和/或金属硼化物膜的其他共试剂。合适的试剂还可包括但不限于氧、臭氧、水和用于制作金属或金属氧化物膜的其他基于氧的试剂。在一些实施方式中,试剂的等离子体用于形成金属膜50。在一些实施方式中,试剂的等离子体是远程生成的。在一些实施方式中,试剂包含氢、氨或水中的一种或多种。
在一些实施方式中,试剂基本上由氢组成,并且金属膜是纯金属膜。在一些实施方式中,试剂基本上由氨组成,并且金属膜是金属氮化物膜。在一些实施方式中,试剂基本上由水组成,并且金属膜是金属氧化物膜。如以此方式所使用的,术语“基本上由……组成”意味着以摩尔计,试剂(不包括惰性、稀释或载体物质)大于或等于所述组分的约95%、98%或99%。如就此所使用地,纯金属膜是基本上由金属原子组成的任何膜。如就此所使用地,金属氮化物膜是包含金属和氮原子的任何膜。如就此所使用地,金属氧化物膜是包含金属和氧原子的任何膜。包含除金属以外的原子(例如,金属氮化物或金属氧化物)的膜可包含或可不包含以化学计量比计的原子。
在206,在第一表面上沉积含锗层。含锗层的沉积是可选工艺。在一些实施方式中,在第一表面上沉积含钛层,并且在第一表面上也沉积了含锗层。在一些实施方式中,首先沉积含钛层。在一些实施方式中,首先沉积含锗层。
可通过任何合适的工艺来沉积含锗层。在一些实施方式中,通过类似于上文针对其中锗是所述金属的金属层所描述的工艺的工艺来沉积含锗层。
在208,在第一表面上沉积含硅层。含硅层的沉积是可选工艺。在一些实施方式中,在第一表面上沉积含钛层,并且在第一表面上也沉积了含硅层。在一些实施方式中,首先沉积含钛层。在一些实施方式中,首先沉积含硅层。
可通过任何合适的工艺来沉积含硅层。在一些实施方式中,通过类似于上文针对其中硅是所述金属的金属层描述的工艺的工艺来沉积含硅层。在一些实施方式中,通过将基板暴露于硅前驱物来沉积含硅层。在一些实施方式中,还将基板暴露于反应物。在一些实施方式中,在第一表面和阻挡层两者上沉积含硅层。在一些实施方式中,可通过PVD和/或CVD来沉积含硅层。在一些实施方式中,含硅层是非晶的。在一些实施方式中,含硅层是多晶的。在一些实施方式中,含硅层是外延沉积的。
在210,在形成金属膜50之后,加热基板以形成经改性的第一表面60。不受理论的约束。认为加热基板使金属层结合到半导体材料的表面(即,第一表面25)中。在一些实施方式中,经改性的第一表面60包括硅化物。在一些实施方式中,经改性的第一表面60包括钛和硅。在一些实施方式中,经改性的第一表面60基本上由TiSi2组成。
在一些实施方式中,工艺210包括退火工艺。在一些实施方式中,经改性的第一表面60包含TiSi-C49。在一些实施方式中,形成经改性的第一表面60包括在范围为约500℃至约700℃的温度下使基板退火以形成TiSi-C49。在一些实施方式中,执行退火工艺大于约1分钟。在一些实施方式中,形成经改性的第一表面60包括将基板激光退火到范围为约800℃至约1000℃的温度以形成TiSi-C49。在一些实施方式中,激光退火工艺是毫秒激光退火。
在一些实施方式中,经改性的第一表面60包含TiSi-C54。在一些实施方式中,形成经改性的第一表面60包括使基板在范围为约700℃至约900℃的温度下退火以形成TiSi-C54。在一些实施方式中,执行退火工艺大于约1分钟。在一些实施方式中,形成经改性的第一表面60包括将基板激光退火到范围为约1000℃至约1200℃的温度以形成TiSi-C54。在一些实施方式中,激光退火工艺是毫秒激光退火。
尽管本文中的公开内容已经参考特定实施方式进行描述,但是应理解,这些实施方式仅示出了本公开的原理和应用。本领域的技术人员将清楚,在不脱离本公开的精神和范围的情况下,可对本公开的方法和设备作出各种修改和变化。因此,本公开旨在包括在所附权利要求书和其等效物的范围内的修改和变化。

Claims (20)

1.一种选择性沉积方法,包括:
将基板暴露于阻挡化合物以在第二电介质表面上选择性地形成阻挡层,所述基板包括第一半导体表面和所述第二电介质表面;
将所述基板暴露于钛前驱物以相对于所述第二表面在所述第一表面上选择性地沉积含Ti层;
将所述基板暴露于硅前驱物以相对于所述第二表面在所述第一表面上选择性地沉积含Si层;以及
退火所述基板以形成包括钛和硅的经改性的第一表面。
2.如权利要求1所述的方法,其中所述含Si层是非晶的。
3.如权利要求1所述的方法,其中所述经改性的第一表面包括TiSi-C49。
4.如权利要求1所述的方法,其中所述经改性的第一表面包括TiSi-C54。
5.一种选择性沉积方法,包括:
将具有包括第一半导体表面和第二电介质表面的基板表面的基板暴露于阻挡化合物以相对于所述第一表面在所述第二表面上选择性地形成阻挡层;
将所述基板表面暴露于钛前驱物以相对于所述第二表面在所述第一表面上选择性地沉积含Ti膜;以及
退火所述基板以形成包括钛和硅的经改性的第一表面。
6.如权利要求5所述的方法,其中将所述基板在范围为约500℃至约900℃的温度下退火达大于约1分钟的时间段。
7.如权利要求5所述的方法,其中通过毫秒激光退火将所述基板在范围为约800℃至约1200℃的温度下退火。
8.一种选择性沉积方法,包括:
将具有包括第一半导体表面和第二电介质表面的基板表面的基板暴露于阻挡化合物以相对于所述第一表面在所述第二表面上选择性地形成阻挡层;
将所述基板表面暴露于金属前驱物以相对于所述第二表面在所述第一表面上选择性地沉积金属膜,所述金属前驱物包括Ti、Zr、Hf或Ta中的一者或多者;以及
加热所述基板以形成包括金属和硅的经改性的第一表面。
9.如权利要求8所述的方法,其中所述阻挡化合物包括通式为R3Si-X的阻挡剂,其中每个R独立地为C1-C4烷基,并且X为任何离去基团。
10.如权利要求9所述的方法,其中X是选自卤化物、叠氮化物、氨基、酰肼、氰化物或异氰酸酯的离去基团。
11.如权利要求8所述的方法,其中将所述基板在范围为约200℃至约500℃的温度下暴露于所述阻挡化合物。
12.如权利要求8所述的方法,其中所述金属前驱物包括包含至少一种卤化物配体的物质。
13.如权利要求8所述的方法,其中所述金属前驱物不包含任何金属卤化物。
14.如权利要求8所述的方法,其中所述金属前驱物包括包含通式为–OR*的至少一种氧代配体的物质,其中R*是C1-C8烷基基团。
15.如权利要求14所述的方法,其中所述至少一种氧代配体选自由以下各项组成的群组:甲氧基、乙氧基、丙氧基、异丙氧基、丁氧基、叔丁氧基和乙基己氧基。
16.如权利要求8所述的方法,其中将所述基板暴露于金属前驱物进一步包括将所述基板暴露于试剂,所述试剂包括氢、氨或水中的一者或多者。
17.如权利要求16所述的方法,其中所述试剂基本上由氢组成,并且所述金属膜是纯金属膜。
18.如权利要求16所述的方法,其中所述试剂基本上由氨组成,并且所述金属膜是金属氮化物膜。
19.如权利要求16所述的方法,其中所述试剂基本上由水组成,并且所述金属膜是金属氧化物膜。
20.如权利要求19所述的方法,其中所述经改性的第一表面包括硅化物。
CN202311645258.2A 2017-12-17 2018-12-14 通过选择性沉积的硅化物膜 Pending CN117832071A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762599711P 2017-12-17 2017-12-17
US62/599,711 2017-12-17
PCT/US2018/065686 WO2019118845A1 (en) 2017-12-17 2018-12-14 Silicide films through selective deposition
CN201880086359.1A CN111602228B (zh) 2017-12-17 2018-12-14 通过选择性沉积的硅化物膜

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880086359.1A Division CN111602228B (zh) 2017-12-17 2018-12-14 通过选择性沉积的硅化物膜

Publications (1)

Publication Number Publication Date
CN117832071A true CN117832071A (zh) 2024-04-05

Family

ID=66814658

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880086359.1A Active CN111602228B (zh) 2017-12-17 2018-12-14 通过选择性沉积的硅化物膜
CN202311645258.2A Pending CN117832071A (zh) 2017-12-17 2018-12-14 通过选择性沉积的硅化物膜

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880086359.1A Active CN111602228B (zh) 2017-12-17 2018-12-14 通过选择性沉积的硅化物膜

Country Status (6)

Country Link
US (3) US10607841B2 (zh)
JP (1) JP2021507520A (zh)
KR (1) KR102358527B1 (zh)
CN (2) CN111602228B (zh)
SG (1) SG11202005432RA (zh)
WO (1) WO2019118845A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202005432RA (en) * 2017-12-17 2020-07-29 Applied Materials Inc Silicide films through selective deposition
EP4100557A1 (en) * 2020-02-04 2022-12-14 Merck Patent GmbH Methods of selectively forming metal-containing films
WO2022098517A1 (en) * 2020-11-03 2022-05-12 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
US20220344162A1 (en) * 2021-04-23 2022-10-27 Tokyo Electron Limited Method of forming a finfet structure
WO2023096270A1 (ko) * 2021-11-26 2023-06-01 솔브레인 주식회사 고유전율 박막용 가리움제, 이를 이용한 선택영역증착 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2023249418A1 (ko) * 2022-06-21 2023-12-28 성균관대학교산학협력단 중합체 박막, 이를 이용한 확산 방지막 및 그 제조 방법

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2901616B2 (ja) 1988-06-27 1999-06-07 ソニー株式会社 半導体装置の製造方法
US4957777A (en) * 1988-07-28 1990-09-18 Massachusetts Institute Of Technology Very low pressure chemical vapor deposition process for deposition of titanium silicide films
JPH0430422A (ja) 1990-05-25 1992-02-03 Fujitsu Ltd 半導体装置およびその製造方法
US5138432A (en) * 1990-08-30 1992-08-11 Cornell Research Foundation, Inc. Selective deposition of tungsten on TiSi2
US5320978A (en) * 1993-07-30 1994-06-14 The United States Of America As Represented By The Secretary Of The Navy Selective area platinum film deposition
US5633036A (en) * 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
AU6774996A (en) * 1995-08-18 1997-03-12 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
FR2742924B1 (fr) * 1995-12-22 1998-03-20 Jorge Luis Regolini Procede de depot selectif d'un siliciure de metal refractaire sur du silicium et plaquette de silicium metallisee par ce procede
US5883010A (en) * 1997-08-07 1999-03-16 National Semiconductor Corporation Method for protecting nonsilicided surfaces from silicide formation using spacer oxide mask
US7858518B2 (en) * 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US6019839A (en) * 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
JP4644359B2 (ja) * 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
US7232756B2 (en) * 2003-04-16 2007-06-19 Samsung Electronics Co., Ltd. Nickel salicide process with reduced dopant deactivation
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7448395B2 (en) * 2004-07-19 2008-11-11 Texas Instruments Incorporated Process method to facilitate silicidation
US9202758B1 (en) * 2005-04-19 2015-12-01 Globalfoundries Inc. Method for manufacturing a contact for a semiconductor component and related structure
US20070098902A1 (en) * 2005-06-17 2007-05-03 Cornell Research Foundation, Inc. Fabricating inorganic-on-organic interfaces for molecular electronics employing a titanium coordination complex and thiophene self-assembled monolayers
JP2007088372A (ja) * 2005-09-26 2007-04-05 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
KR100814417B1 (ko) * 2006-10-02 2008-03-18 삼성전자주식회사 단결정 실리콘 패턴 형성 방법 및 이를 이용한 불 휘발성 메모리 소자의 형성 방법
JP2008177319A (ja) 2007-01-18 2008-07-31 Sony Corp 半導体装置の製造方法および半導体装置
US8236693B2 (en) * 2007-05-15 2012-08-07 Advanced Micro Devices, Inc. Methods of forming silicides of different thicknesses on different structures
US20110001169A1 (en) * 2009-07-01 2011-01-06 International Business Machines Corporation Forming uniform silicide on 3d structures
KR101895398B1 (ko) * 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
US8872252B2 (en) * 2011-08-03 2014-10-28 Micron Technology, Inc. Multi-tiered semiconductor apparatuses including residual silicide in semiconductor tier
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP2013149829A (ja) * 2012-01-20 2013-08-01 Toshiba Corp 半導体装置の製造方法
US8659032B2 (en) * 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
CN103311247B (zh) * 2012-03-14 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
EP2904648B1 (en) * 2012-10-04 2016-09-21 Merck Patent GmbH Passivation layers for organic electronic devices
US9029208B2 (en) * 2012-11-30 2015-05-12 International Business Machines Corporation Semiconductor device with replacement metal gate and method for selective deposition of material for replacement metal gate
US9230807B2 (en) * 2012-12-18 2016-01-05 General Electric Company Systems and methods for ohmic contacts in silicon carbide devices
US20150270134A1 (en) * 2014-03-19 2015-09-24 Qualcomm Incorporated Methods of forming a metal-insulator-semiconductor (mis) structure and a dual contact device
JP6343481B2 (ja) * 2014-04-21 2018-06-13 株式会社Adeka 薄膜形成用原料、薄膜の製造方法及びアルコール化合物
US9406554B2 (en) * 2014-09-30 2016-08-02 International Business Machines Corporation Diffusion barrier layer formation
US20160104673A1 (en) 2014-10-09 2016-04-14 United Microelectronics Corp. Fin-shaped field-effect transistor with a germanium epitaxial cap and a method for fabricating the same
US9324820B1 (en) * 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
US10103057B2 (en) * 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
EP3235009A4 (en) * 2014-12-19 2018-07-25 INTEL Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9899268B2 (en) * 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
CN107533951B (zh) * 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
FR3040533B1 (fr) * 2015-08-31 2018-03-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Formation de contacts ohmiques pour un dispositif dote d'une region en materiau iii-v et d'une region en un autre materiau semi-conducteur
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9607842B1 (en) * 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
EP3171409B1 (en) * 2015-11-18 2020-12-30 IMEC vzw Method for forming a field effect transistor device having an electrical contact
CN106920776B (zh) * 2015-12-25 2019-12-03 中芯国际集成电路制造(上海)有限公司 鳍式晶体管的形成方法
US10811262B2 (en) * 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9882013B2 (en) * 2016-03-31 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20170135760A (ko) 2016-05-31 2017-12-08 도쿄엘렉트론가부시키가이샤 표면 처리에 의한 선택적 퇴적
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10364259B2 (en) * 2016-12-30 2019-07-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10141308B2 (en) * 2017-03-10 2018-11-27 International Business Machines Corporation Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
US10867866B2 (en) * 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
SG11202005432RA (en) * 2017-12-17 2020-07-29 Applied Materials Inc Silicide films through selective deposition

Also Published As

Publication number Publication date
WO2019118845A1 (en) 2019-06-20
US20190189453A1 (en) 2019-06-20
SG11202005432RA (en) 2020-07-29
JP2021507520A (ja) 2021-02-22
US10607841B2 (en) 2020-03-31
KR102358527B1 (ko) 2022-02-08
US20200227265A1 (en) 2020-07-16
CN111602228A (zh) 2020-08-28
US10950450B2 (en) 2021-03-16
KR20200088519A (ko) 2020-07-22
CN111602228B (zh) 2023-12-12
US11978635B2 (en) 2024-05-07
US20210202256A1 (en) 2021-07-01

Similar Documents

Publication Publication Date Title
CN111602228B (zh) 通过选择性沉积的硅化物膜
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
KR20200127949A (ko) 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
US7972978B2 (en) Pretreatment processes within a batch ALD reactor
KR20190024834A (ko) 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11887847B2 (en) Methods and precursors for selective deposition of metal films
KR20180123429A (ko) 기판 상에 실리콘 질화막을 선택적으로 형성하는 방법 및 관련 반도체 소자 구조체
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
KR20170104936A (ko) 금속 규화물들의 선택적 형성
CN110709534B (zh) TiAlN膜的铝含量控制
TW202235670A (zh) 硼膜的沉積
TWI841680B (zh) 於反應腔室中藉由循環沉積製程於基板上沉積鉿鑭氧化物膜之方法
TW202219309A (zh) 形成無雜質金屬合金膜的方法
TW202417668A (zh) 選擇性mosi沉積

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination