JP2008518482A5 - - Google Patents

Download PDF

Info

Publication number
JP2008518482A5
JP2008518482A5 JP2007539016A JP2007539016A JP2008518482A5 JP 2008518482 A5 JP2008518482 A5 JP 2008518482A5 JP 2007539016 A JP2007539016 A JP 2007539016A JP 2007539016 A JP2007539016 A JP 2007539016A JP 2008518482 A5 JP2008518482 A5 JP 2008518482A5
Authority
JP
Japan
Prior art keywords
vacuum chamber
etchant
gas
source
residue
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007539016A
Other languages
English (en)
Other versions
JP2008518482A (ja
JP5227025B2 (ja
Filing date
Publication date
Priority claimed from US10/973,673 external-priority patent/US7819981B2/en
Application filed filed Critical
Publication of JP2008518482A publication Critical patent/JP2008518482A/ja
Publication of JP2008518482A5 publication Critical patent/JP2008518482A5/ja
Application granted granted Critical
Publication of JP5227025B2 publication Critical patent/JP5227025B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (31)

  1. 半導体製造ツール、少なくとも1つの構成部品、又はそれらの組み合わせからなる真空室を洗浄する方法であって、
    (a)エッチャント容器から前記真空室にエッチャントガスを導入する工程と、
    (b)前記真空室内の所定圧力の達成時に、前記真空室への前記エッチャントガスの導入を終了する工程と、
    (c)前記真空室、その中に収容された少なくとも1つの構成部品、又はそれらの組み合わせの内部から残留物を少なくとも部分的に除去するのに十分な時間、前記エッチャントガスと前記真空室内の前記残留物とを反応させる工程と、
    を含み、
    前記エッチャントガスが、前記真空室、その中に収容された前記構成部品、又はそれらの組み合わせの内部と本質的に非反応性でありつつ、前記真空室内の前記残留物、その中に収容された前記構成部品上の前記残留物、又はそれらの組み合わせと選択的に反応するように選択される方法。
  2. 前記少なくとも1つの構成部品が、前記真空室と連通して配置され、かつ、前記真空室をポンピングするために使用されるターボ分子ポンプである、請求項に記載の方法。
  3. 前記エッチャント容器が、エッチャント材料を含み、かつ、前記エッチャント容器が、前記エッチャント材料の前記エッチャントガスへの物理的変換速度を増すために、ヒータによって加熱される、請求項1に記載の方法。
  4. 前記エッチャントガスを前記真空室に搬送するために、不活性ガスがエッチャント容器に導入される、請求項1に記載の方法。
  5. 前記エッチャント容器が、前記真空室に配置されるか、又は前記真空室の上流に配置される、請求項1に記載の方法。
  6. 半導体製造ツール、少なくとも1つの内部構成部品、又はそれらの組み合わせからなる真空室を洗浄する方法であって、
    (a)エッチャント容器から前記真空室にエッチャント材料を導入する工程と、
    (b)所定圧力の達成時に、前記真空室への前記エッチャント材料の導入を終了する工程と、
    (c)前記真空室に配置されたプラズマ源を使用して、前記真空室内で前記エッチャント材料を反応性ハロゲン化物種に解離する工程と、
    (d)前記真空室、前記少なくとも1つの内部構成部品、又はそれらの組み合わせから残留物を少なくとも部分的に除去するのに十分な時間、前記反応性ハロゲン化物種と前記真空室内の前記残留物とを反応させる工程と、を含む方法。
  7. 前記エッチャント材料が、XeF、XeF、XeF、NF、IF、IF、SF、C及びFからなる群から選択された材料を含む、請求項に記載の方法。
  8. 前記エッチャント材料を解離するのに先立って、不活性ガス源から前記真空室に不活性ガスを導入する工程をさらに含む、請求項に記載の方法。
  9. 半導体製造ツール、少なくとも1つの内部構成部品、又はそれらの組み合わせからなる真空室を洗浄する装置であって、
    (a)内部にエッチャント材料が配置されたエッチャント材料源であって、前記真空室に連通して接続され、かつ前記真空室の上流に配置されるエッチャント材料源と、
    (b)前記エッチャント材料源と前記真空室との間のバルブと、
    を有し、
    前記装置が、次の構成部品(I)及び(II)の少なくとも一方を有することをさらに特徴とする装置:、
    (I)前記エッチャント材料源を加熱するためのヒータ、及び
    (II)内部に不活性ガスが配置された不活性ガス源であって、前記エッチャント材料源に連通して接続され、かつ前記エッチャント材料源の上流に配置され、前記エッチャント材料が、XeF 、XeF 、XeF 、IF 、IF 、SF 、C 及びF からなる群から選択される材料を含む、不活性ガス源。
  10. 半導体製造ツールの少なくとも1つの構成部品をex−situ洗浄する方法であって、
    (a)前記構成部品をex−situ真空室に配置する工程と、
    (b)エッチャント容器から前記ex−situ真空室にエッチャントガスを導入する工程と、
    (c)前記真空室内の所定圧力の達成時に、前記真空室への前記エッチャントガスの導入を終了する工程と、
    (d)前記真空室に収容された前記少なくとも1つの構成部品から残留物を少なくとも部分的に除去するのに十分な時間、前記エッチャントガスと前記真空室内の前記残留物とを反応させる工程と、
    を含み、
    前記エッチャントガスが、前記真空室及び前記構成部品材料それ自体の内部と実質的に非反応性でありつつ、前記少なくとも1つの構成部品上の前記残留物と選択的に反応するように選択され
    前記半導体製造ツールが、イオン注入装置である方法。
  11. 前記エッチャントガスが、XeF、XeF、XeF、NF、IF、IF、SF、C及びFからなる群から選択されたガスを含む、請求項1〜10いずれか1項に記載の方法。
  12. 前記所定圧力が、大気圧未満である、請求項1〜10いずれか1項に記載の方法。
  13. 前記所定圧力が、約0.3Torr〜約4.0Torrである、請求項12に記載の方法。
  14. 前記時間が、約0.5分〜約5分である、請求項1〜10いずれか1項に記載の方法。
  15. (e)前記反応の完了に続き、前記真空室を排気する工程をさらに含む、請求項1〜10いずれか1項に記載の方法。
  16. (b)〜(e)を少なくとも1回繰り返すことをさらに含む、請求項15に記載の方法。
  17. 半導体製造ツール、少なくとも1つの構成部品、又はそれらの組み合わせからなる真空室を洗浄する方法であって、
    (a)エッチャント容器から前記真空室にエッチャントガスを導入する工程と、
    (b)前記真空室を通して前記エッチャントガスの連続的な流れを実施するために、真空ポンプを使用して前記真空室から複数のガス種を引く工程と、
    (c)前記真空室及び/又は前記真空室に収容された少なくとも1つの構成部品から前記残留物を少なくとも部分的に除去するために、前記エッチャントガスと前記残留物とを反応させるのに十分な時間、前記真空室を通して前記エッチャントガスを流す工程と、を含み、
    前記エッチャントガスが、XeF、XeF、XeF、IF、IF、SF、C及びFからなる群から選択されたガスを含み、また前記エッチャントガスが、前記真空室又は前記真空室に収容された前記構成部品の内部と実質的に非反応性でありつつ、前記真空室内の前記残留物と選択的に反応するように選択される方法。
  18. 前記半導体製造ツールが、イオン注入装置であ前記真空室がイオン源領域又はビームライン真空室を含む、請求項1、6及び17のいずれか1項に記載の方法。
  19. ドーパント源から前記真空室にドーパントガスを流して、前記真空室のイオン注入を実施する工程をさらに含む、請求項17に記載の方法。
  20. (a)〜(d)を少なくとも1回繰り返すことをさらに含む、請求項1〜19いずれか1項に記載の方法。
  21. エネルギー源を使用して、前記真空室で前記エッチャントガスを反応性ハロゲン化物種に解離する工程をさらに含む、請求項17に記載の方法。
  22. 前記反応性ハロゲン化物種が、前記残留物と反応して、前記真空室から前記残留物を少なくとも部分的に除去する、請求項17に記載の方法。
  23. 前記残留物が、ホウ素、リン、ゲルマニウム、モリブデン、タングステン、アルミニウム、及びヒ素からなる群から選択された元素を含む、請求項1、6、10及び17のいずれか1項に記載の方法。
  24. 前記エネルギー源が、プラズマ発生器を有する、請求項21に記載の方法。
  25. 前記プラズマ発生器が、傍熱型陰極源、フリーマン源及びベルナス源からなる群から選択されたイオン源を有する、請求項1、6、10及び24に記載の方法。
  26. 前記エネルギー源が、前記真空室内又は前記真空室の直接上流に配置される、請求項21に記載の方法。
  27. 前記エッチャントガスと前記残留物との反応が、エネルギー的活性化なしに実施される、請求項1〜17いずれか1項に記載の方法。
  28. 前記エッチャントガスが、アルゴン、窒素、キセノン及びヘリウムからなる群から選択された不活性種をさらに含む、請求項17に記載の方法。
  29. 前記エッチャントガスが、酸化種及び窒素含有種を含まず、前記窒素含有種が、O、F、及びBrからなる群から選択された少なくとも1つの追加元素を含むものである、請求項1〜17いずれか1項に記載の方法。
  30. 蓄積した処理排出物をクライオポンプの内部から洗浄する方法であって、前記方法が、少なくとも2つのパージガスで前記クライオポンプをパージする工程を含み、前記パージガスが、窒素と、酸素、オゾン、窒素酸化物、酸素ラジカルをその場(in−situ)発生する種、及びそれらの組み合わせからなる群から選択された少なくとも1つの反応性ガスとを含み、
    前記方法が、次のパージ処理工程(I)、(II)、及び(III)の少なくとも1つを含むことを特徴とし:
    (I)(a)時間xの間、実質的に純粋な窒素でパージし、そして
    (b)時間yの間、実質的に純粋である少なくとも1つの反応性ガスでパージする工程、
    (II)(a)時間ゼロに、実質的に純粋な窒素でパージし、
    (b)前記実質的に純粋な窒素と、少なくとも1つの反応性ガスとを混合する工程であって、前記窒素及び前記少なくとも1つの反応性ガスがもはや実質的に純粋でない工程、
    (III)(a)窒素と少なくとも1つの反応性ガスとの混合気でパージする工程、
    前記蓄積された処理排出物が、前記クライオポンプの内部から実質的に除去される方法。
  31. 前記反応性ガスが、酸素を含む、請求項30に記載の方法。
JP2007539016A 2004-10-26 2005-10-21 イオン注入装置の構成部品を洗浄するための新規な方法 Expired - Fee Related JP5227025B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/973,673 2004-10-26
US10/973,673 US7819981B2 (en) 2004-10-26 2004-10-26 Methods for cleaning ion implanter components
PCT/US2005/038102 WO2006047373A2 (en) 2004-10-26 2005-10-21 Novel methods for cleaning ion implanter components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012161702A Division JP2013055328A (ja) 2004-10-26 2012-07-20 イオン注入装置の構成部品を洗浄するための新規な方法

Publications (3)

Publication Number Publication Date
JP2008518482A JP2008518482A (ja) 2008-05-29
JP2008518482A5 true JP2008518482A5 (ja) 2008-12-11
JP5227025B2 JP5227025B2 (ja) 2013-07-03

Family

ID=36205072

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007539016A Expired - Fee Related JP5227025B2 (ja) 2004-10-26 2005-10-21 イオン注入装置の構成部品を洗浄するための新規な方法
JP2012161702A Pending JP2013055328A (ja) 2004-10-26 2012-07-20 イオン注入装置の構成部品を洗浄するための新規な方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012161702A Pending JP2013055328A (ja) 2004-10-26 2012-07-20 イオン注入装置の構成部品を洗浄するための新規な方法

Country Status (8)

Country Link
US (2) US7819981B2 (ja)
EP (1) EP1807224A4 (ja)
JP (2) JP5227025B2 (ja)
KR (3) KR20140085599A (ja)
CN (1) CN101437629A (ja)
SG (1) SG156669A1 (ja)
TW (1) TWI412620B (ja)
WO (1) WO2006047373A2 (ja)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
KR101299791B1 (ko) * 2005-03-16 2013-08-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 시약의 고체 소스로부터 시약을 운반하기 위한 시스템
EP1899040A2 (en) 2005-06-22 2008-03-19 Advanced Technology Materials, Inc. Apparatus and process for integrated gas blending
US7491947B2 (en) * 2005-08-17 2009-02-17 Varian Semiconductor Equipment Associates, Inc. Technique for improving performance and extending lifetime of indirectly heated cathode ion source
US7943204B2 (en) 2005-08-30 2011-05-17 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
US20100112795A1 (en) * 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
DE102005047081B4 (de) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
DE102005054605B4 (de) * 2005-11-16 2010-09-30 Bruker Daltonik Gmbh Automatische Reinigung von Ionenquellen
US8278222B2 (en) * 2005-11-22 2012-10-02 Air Products And Chemicals, Inc. Selective etching and formation of xenon difluoride
CN101473073B (zh) * 2006-04-26 2012-08-08 高级技术材料公司 半导体加工系统的清洁
US20080142039A1 (en) * 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
SG166102A1 (en) 2007-03-31 2010-11-29 Advanced Tech Materials Methods for stripping material for wafer reclamation
JP5011013B2 (ja) * 2007-07-24 2012-08-29 大陽日酸株式会社 二フッ化キセノンガス供給装置
US20090084988A1 (en) * 2007-09-27 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Single wafer implanter for silicon-on-insulator wafer fabrication
TWI619153B (zh) * 2008-02-11 2018-03-21 恩特葛瑞斯股份有限公司 在半導體處理系統中離子源之清洗
KR20110025775A (ko) * 2008-05-30 2011-03-11 액셀리스 테크놀러지스, 인크. 수소화 붕소 임플란트 시 반도체 웨이퍼 상 입자 제어
US7888662B2 (en) * 2008-06-20 2011-02-15 Varian Semiconductor Equipment Associates, Inc. Ion source cleaning method and apparatus
US8809800B2 (en) * 2008-08-04 2014-08-19 Varian Semicoductor Equipment Associates, Inc. Ion source and a method for in-situ cleaning thereof
FR2937494B1 (fr) * 2008-10-17 2012-12-07 Centre Nat Rech Scient Source de plasma gazeux basse puissance
US8263944B2 (en) * 2008-12-22 2012-09-11 Varian Semiconductor Equipment Associates, Inc. Directional gas injection for an ion source cathode assembly
EP2396809A1 (en) * 2009-02-11 2011-12-21 Advanced Technology Materials, Inc. Ion source cleaning in semiconductor processing systems
WO2010129289A2 (en) * 2009-04-28 2010-11-11 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8003959B2 (en) * 2009-06-26 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ion source cleaning end point detection
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US9627180B2 (en) * 2009-10-01 2017-04-18 Praxair Technology, Inc. Method for ion source component cleaning
FR2951581B1 (fr) * 2009-10-19 2011-12-16 Ecole Polytech Procede de fabrication d'un film multicouche comprenant au moins une couche ultra mince de silicium cristallin et dispositifs obtenus par ce procede
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
WO2011056515A2 (en) * 2009-10-27 2011-05-12 Advanced Technology Materials, Inc. Ion implantation system and method
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
FR2957454B1 (fr) * 2010-03-09 2013-05-17 Essilor Int Procede de conditionnement d'un canon a ions
US9984855B2 (en) * 2010-11-17 2018-05-29 Axcelis Technologies, Inc. Implementation of co-gases for germanium and boron ion implants
US20130017644A1 (en) * 2011-02-18 2013-01-17 Air Products And Chemicals, Inc. Fluorine Based Chamber Clean With Nitrogen Trifluoride Backup
JP2012204248A (ja) * 2011-03-28 2012-10-22 Panasonic Corp プラズマ発生装置及びこれを用いた洗浄浄化装置
US8822913B2 (en) 2011-12-06 2014-09-02 Fei Company Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions
WO2013122986A1 (en) 2012-02-14 2013-08-22 Advanced Technology Materials, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9064795B2 (en) 2012-03-30 2015-06-23 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate
US9062377B2 (en) * 2012-10-05 2015-06-23 Varian Semiconductor Equipment Associates, Inc. Reducing glitching in an ion implanter
CN103785647A (zh) * 2012-10-26 2014-05-14 上海华虹宏力半导体制造有限公司 离子注入设备自动清洁离子腔体以提高部件寿命的方法
JP2014137901A (ja) * 2013-01-16 2014-07-28 Nissin Ion Equipment Co Ltd イオン注入装置およびイオン注入装置の運転方法
JP5950855B2 (ja) * 2013-03-19 2016-07-13 住友重機械イオンテクノロジー株式会社 イオン注入装置およびイオン注入装置のクリーニング方法
US9006690B2 (en) * 2013-05-03 2015-04-14 Axcelis Technologies, Inc. Extraction electrode assembly voltage modulation in an ion implantation system
JP6201496B2 (ja) * 2013-08-02 2017-09-27 セントラル硝子株式会社 If7由来フッ化ヨウ素化合物の回収方法及び回収装置
US10269537B2 (en) * 2013-12-16 2019-04-23 Varian Semiconductor Equipment Associates, Inc. Vacuum assembly for an ion implanter system
JP2017517380A (ja) * 2014-03-06 2017-06-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 重原子を含有する化合物のプラズマ軽減
US9878901B2 (en) * 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US9330874B2 (en) 2014-08-11 2016-05-03 Innovative Micro Technology Solder bump sealing method and device
CN107078005B (zh) * 2014-09-25 2019-01-04 三菱电机株式会社 离子注入装置
US10522330B2 (en) 2015-06-12 2019-12-31 Varian Semiconductor Equipment Associates, Inc. In-situ plasma cleaning of process chamber components
CN106298421A (zh) * 2015-06-23 2017-01-04 应用材料公司 用以消除来自离子注入工艺的自燃副产物的方法和装置
US10062548B2 (en) * 2015-08-31 2018-08-28 Varian Semiconductor Equipment Associates, Inc. Gas injection system for ion beam device
JP6924775B2 (ja) * 2016-04-26 2021-08-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 排気堆積物の除去のための温度制御された遠隔プラズマ洗浄
CN109196617B (zh) * 2016-05-13 2021-02-12 恩特格里斯公司 于氮离子植入中改善离子源效能的氟化组合物
CN107424895B (zh) * 2016-05-24 2021-04-09 北京北方华创微电子装备有限公司 一种半导体设备前端处理装置
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
CN110496825B (zh) * 2019-08-22 2021-04-13 上海华力集成电路制造有限公司 真空腔污染颗粒的清除装置和方法
JP7385809B2 (ja) * 2019-09-05 2023-11-24 日新イオン機器株式会社 イオンビーム照射装置のクリーニング方法
EP3815801A1 (de) * 2019-10-30 2021-05-05 AHMT GmbH industrial applications Reinigungsverfahren zum reinigen einer oberfläche und vakuumverbindungsverfahren sowie nachrüstsatz für eine oberflächenreinigungsvorrichtung, oberflächenreinigungsvorrichtung und vakuumverbindungsvorrichtung mit oberflächenreinigungsvorrichtung
KR20210137395A (ko) * 2020-05-07 2021-11-17 에이에스엠 아이피 홀딩 비.브이. 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법
CN113984275B (zh) * 2021-12-24 2022-05-10 北京凯世通半导体有限公司 一种通过测量真空度进行超低温离子注入设备监测的方法

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3625749A (en) * 1966-04-06 1971-12-07 Matsushita Electronics Corp Method for deposition of silicon dioxide films
DE1789021C3 (de) * 1967-09-25 1975-04-10 Hitachi, Ltd., Tokio Zenerdiode und Verfahren zu ihrer Herstellung
JPS4820106B1 (ja) * 1968-03-08 1973-06-19
US3658586A (en) * 1969-04-11 1972-04-25 Rca Corp Epitaxial silicon on hydrogen magnesium aluminate spinel single crystals
US3725749A (en) * 1971-06-30 1973-04-03 Monsanto Co GaAS{11 {11 {11 P{11 {11 ELECTROLUMINESCENT DEVICE DOPED WITH ISOELECTRONIC IMPURITIES
JPS5183473A (en) * 1975-01-20 1976-07-22 Hitachi Ltd Fujunbutsuno doopinguhoho
US4128733A (en) * 1977-12-27 1978-12-05 Hughes Aircraft Company Multijunction gallium aluminum arsenide-gallium arsenide-germanium solar cell and process for fabricating same
US4600801A (en) * 1984-11-02 1986-07-15 Sovonics Solar Systems Fluorinated, p-doped microcrystalline silicon semiconductor alloy material
US4718240A (en) * 1985-03-01 1988-01-12 Helix Technology Corporation Cryopump regeneration method and apparatus
US4703183A (en) * 1985-12-05 1987-10-27 Eaton Corporation Ion implantation chamber purification method and apparatus
JP2813762B2 (ja) * 1990-02-23 1998-10-22 東京エレクトロン株式会社 イオン注入装置
JPH04112441A (ja) 1990-08-31 1992-04-14 Toshiba Corp イオン注入装置及びそのクリーニング方法
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5370568A (en) 1993-03-12 1994-12-06 Harris Corporation Curing of a tungsten filament in an ion implanter
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
JPH0786242A (ja) 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
US5436180A (en) * 1994-02-28 1995-07-25 Motorola, Inc. Method for reducing base resistance in epitaxial-based bipolar transistor
US5513499A (en) * 1994-04-08 1996-05-07 Ebara Technologies Incorporated Method and apparatus for cryopump regeneration using turbomolecular pump
JP3593363B2 (ja) 1994-08-10 2004-11-24 株式会社東芝 半導体薄膜を具備するアクティブマトリックス型液晶表示装置の製造方法
US5554845A (en) 1994-11-14 1996-09-10 Santa Barbara Research Center Method and apparatus to effectively eliminate optical interference structure in detector response
JP3609131B2 (ja) * 1994-12-06 2005-01-12 株式会社半導体エネルギー研究所 イオンドーピング装置のクリーニング方法
US5554854A (en) 1995-07-17 1996-09-10 Eaton Corporation In situ removal of contaminants from the interior surfaces of an ion beam implanter
US5633506A (en) 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses
US5977552A (en) 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
US5988187A (en) 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US6322756B1 (en) 1996-12-31 2001-11-27 Advanced Technology And Materials, Inc. Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US5940724A (en) 1997-04-30 1999-08-17 International Business Machines Corporation Method for extended ion implanter source lifetime
US5943594A (en) 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism
GB9709659D0 (en) * 1997-05-13 1997-07-02 Surface Tech Sys Ltd Method and apparatus for etching a workpiece
US5851270A (en) * 1997-05-20 1998-12-22 Advanced Technology Materials, Inc. Low pressure gas source and dispensing apparatus with enhanced diffusive/extractive means
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
TW352464B (en) * 1997-09-10 1999-02-11 United Semiconductor Corp Method for reducing cross contamination in ion implantor
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6143191A (en) 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
US6846424B2 (en) 1997-11-10 2005-01-25 Advanced Technology Materials, Inc. Plasma-assisted dry etching of noble metal-based materials
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
SG72905A1 (en) 1997-12-18 2000-05-23 Central Glass Co Ltd Gas for removing deposit and removal method using same
US6135128A (en) 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
US6620256B1 (en) * 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
JPH11317174A (ja) * 1998-04-30 1999-11-16 Sozo Kagaku:Kk ガスによるイオン源絶縁フランジのクリーニング方法とクリーニング機構
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6130436A (en) * 1998-06-02 2000-10-10 Varian Semiconductor Equipment Associates, Inc. Acceleration and analysis architecture for ion implanter
US6355933B1 (en) 1999-01-13 2002-03-12 Advanced Micro Devices, Inc. Ion source and method for using same
JP3836991B2 (ja) 1999-02-02 2006-10-25 三菱化学株式会社 製膜方法および磁気記録媒体の製造方法
US6756600B2 (en) 1999-02-19 2004-06-29 Advanced Micro Devices, Inc. Ion implantation with improved ion source life expectancy
US6346452B1 (en) * 1999-05-03 2002-02-12 National Semiconductor Corporation Method for controlling an N-type dopant concentration depth profile in bipolar transistor epitaxial layers
US6221169B1 (en) * 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
JP2000350970A (ja) * 1999-05-10 2000-12-19 Eaton Corp イオン注入装置における汚染された表面を洗浄するための方法および装置
US6259105B1 (en) * 1999-05-10 2001-07-10 Axcelis Technologies, Inc. System and method for cleaning silicon-coated surfaces in an ion implanter
FI113750B (fi) 1999-05-21 2004-06-15 Kojair Tech Oy Menetelmä ja laitteisto puolijohdeteollisuuden työvälineiden pesemiseksi
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6290864B1 (en) * 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
DE10011274A1 (de) * 2000-03-08 2001-09-13 Wolff Walsrode Ag Plasmabehandelte bahnförmige Werkstoffe
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
JP2002057149A (ja) 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
TW522445B (en) * 2000-09-15 2003-03-01 Varian Semiconductor Equipment Faraday system for ion implanters
US6887337B2 (en) 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US6580082B1 (en) * 2000-09-26 2003-06-17 Axcelis Technologies, Inc. System and method for delivering cooling gas from atmospheric pressure to a high vacuum through a rotating seal in a batch ion implanter
JP2002110611A (ja) * 2000-10-04 2002-04-12 Texas Instr Japan Ltd 半導体ウェハの洗浄方法及び装置
US6559462B1 (en) 2000-10-31 2003-05-06 International Business Machines Corporation Method to reduce downtime while implanting GeF4
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
CN1461493A (zh) * 2000-12-18 2003-12-10 住友精密工业株式会社 清洗方法和腐蚀方法
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
JP2002313776A (ja) * 2001-04-19 2002-10-25 Toshiba Corp ドライエッチング方法及びドライエッチング装置
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6529424B2 (en) * 2001-05-17 2003-03-04 Koninklijke Philips Electronics N.V. Propagation delay independent SDRAM data capture device and method
US6835414B2 (en) * 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
JP3987312B2 (ja) * 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030098038A1 (en) 2001-11-26 2003-05-29 Siegele Stephen H. System and method for on-site generation and distribution of fluorine for fabrication processes
JP3891834B2 (ja) * 2001-12-04 2007-03-14 大陽日酸株式会社 ガス供給方法及び装置
US6620225B2 (en) * 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
JP2003272554A (ja) * 2002-03-15 2003-09-26 Seiko Epson Corp イオン注入装置及びその稼動制御方法
JP2003297280A (ja) * 2002-03-29 2003-10-17 Toshiba Corp イオン注入装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US20040006249A1 (en) 2002-07-08 2004-01-08 Showa Denko K.K., Nikon Corporation Fluorination treatment apparatus, process for producing fluorination treated substance, and fluorination treated substance
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US7080545B2 (en) 2002-10-17 2006-07-25 Advanced Technology Materials, Inc. Apparatus and process for sensing fluoro species in semiconductor processing systems
US7228724B2 (en) 2002-10-17 2007-06-12 Advanced Technology Materials, Inc. Apparatus and process for sensing target gas species in semiconductor processing systems
US7296458B2 (en) 2002-10-17 2007-11-20 Advanced Technology Materials, Inc Nickel-coated free-standing silicon carbide structure for sensing fluoro or halogen species in semiconductor processing systems, and processes of making and using same
US6812648B2 (en) 2002-10-21 2004-11-02 Guardian Industries Corp. Method of cleaning ion source, and corresponding apparatus/system
KR100505065B1 (ko) 2002-12-26 2005-07-29 삼성전자주식회사 증착 챔버 세정 방법 및 인시튜 세정이 가능한 증착 장치
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7098143B2 (en) * 2003-04-25 2006-08-29 Texas Instruments Incorporated Etching method using an at least semi-solid media
JP4374487B2 (ja) 2003-06-06 2009-12-02 株式会社Sen イオン源装置およびそのクリーニング最適化方法
US6895766B2 (en) * 2003-06-27 2005-05-24 Helix Technology Corporation Fail-safe cryopump safety purge delay
US7791047B2 (en) 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
US20080223409A1 (en) 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
WO2005060602A2 (en) 2003-12-12 2005-07-07 Semequip, Inc. Controlling the flow of vapors sublimated from solids
TWI375660B (en) 2004-01-22 2012-11-01 Semequip Inc Isotopically-enriched boranes and methods of preparing them
GB2412488B (en) 2004-03-26 2007-03-28 Applied Materials Inc Ion sources
US20050252529A1 (en) 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7168438B2 (en) * 2004-09-07 2007-01-30 Hsi-Lu Hung Control device for opening and closing automatic umbrella
DE102004051635A1 (de) * 2004-10-23 2006-05-18 Man Roland Druckmaschinen Ag Verfahren zur Schnittregistereinstellung bei einer Rollenrotationsdruckmaschine
US7819981B2 (en) 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7459704B2 (en) 2004-11-12 2008-12-02 Varian Semiconductor Equipment Associates, Inc. Ion source configuration for production of ionized clusters, ionized molecules and ionized mono-atoms
US20060115590A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
US7641627B2 (en) * 2005-02-23 2010-01-05 Camras Carl B Method and apparatus for reducing intraocular pressure
KR101299791B1 (ko) 2005-03-16 2013-08-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 시약의 고체 소스로부터 시약을 운반하기 위한 시스템
US8278222B2 (en) 2005-11-22 2012-10-02 Air Products And Chemicals, Inc. Selective etching and formation of xenon difluoride
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20080142039A1 (en) 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
TWI619153B (zh) 2008-02-11 2018-03-21 恩特葛瑞斯股份有限公司 在半導體處理系統中離子源之清洗

Similar Documents

Publication Publication Date Title
JP2008518482A5 (ja)
JP4734317B2 (ja) 基板処理方法および基板処理装置
JP4652860B2 (ja) クリプトン又はキセノンの回収方法
KR101070666B1 (ko) 클리닝 방법 및 기판 처리 장치
JP5009295B2 (ja) パルス式エッチング冷却
US20060124058A1 (en) Substrate processing device
KR20070117005A (ko) 박막형성장치의 세정방법
WO2006088062A1 (ja) 半導体デバイスの製造方法および基板処理装置
JP2009147372A (ja) 半導体デバイスの製造方法
JP5213868B2 (ja) クリーニング方法及び基板処理装置
JP5498640B2 (ja) 窒化物半導体製造装置部品の洗浄方法と洗浄装置
JPH07169729A (ja) 半導体製造における材料又は(及び)器具表面の洗浄を三弗化窒素を用いて行なう装置と方法
JP2008031510A (ja) 成膜装置のクリーニング方法および成膜装置
JP4763339B2 (ja) 配管のクリーニング方法
US20040231695A1 (en) Cleaning gas for semiconductor production equipment and cleaning method using the gas
JP2020507461A (ja) 洗浄方法
US7294320B2 (en) Hydrogen peroxide abatement of metal hydride fumes
JP4963817B2 (ja) 基板処理装置
JP2005197561A (ja) 基板処理装置
CN113976546A (zh) 等离子清洗方法及等离子清洗机
JPH05331630A (ja) 三フッ化塩素ガスの除去方法
JP2005167027A (ja) 基板処理装置
JP4267434B2 (ja) 基板処理装置
JP2006295032A (ja) 基板処理装置
JP2009088565A (ja) 基板処理装置