JP2008504695A - CMOSにおいてキャリア移動度を向上させる方法(MOSFETデバイスの圧縮SiGe<110>成長および構造) - Google Patents

CMOSにおいてキャリア移動度を向上させる方法(MOSFETデバイスの圧縮SiGe<110>成長および構造) Download PDF

Info

Publication number
JP2008504695A
JP2008504695A JP2007518341A JP2007518341A JP2008504695A JP 2008504695 A JP2008504695 A JP 2008504695A JP 2007518341 A JP2007518341 A JP 2007518341A JP 2007518341 A JP2007518341 A JP 2007518341A JP 2008504695 A JP2008504695 A JP 2008504695A
Authority
JP
Japan
Prior art keywords
substrate
sige
gate dielectric
forming
pseudo
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007518341A
Other languages
English (en)
Other versions
JP5314891B2 (ja
JP2008504695A5 (ja
Inventor
チャン、ケヴィン、ケイ
グァリニ、キャスリン、ダブリュ
ユン、メイケル
リム、カーン
ヤン、ミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008504695A publication Critical patent/JP2008504695A/ja
Publication of JP2008504695A5 publication Critical patent/JP2008504695A5/ja
Application granted granted Critical
Publication of JP5314891B2 publication Critical patent/JP5314891B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

【課題】 CMOSにおいてホールおよび電子の移動度を向上させる方法を提供する。
【解決手段】 キャリアを伝導させるための構造およびこれを形成するための方法を記載する。これは、 <110>において上面を有するSiまたはSiGeの単結晶基板と、この基板とはGe濃度が異なるSiGeの擬似格子整合またはエピタキシャル層と、を含み、これによって擬似格子整合層に歪みがかかっている。半導体エピタキシャル層を形成するための方法を記述する。これは、急速熱化学的気相堆積(RTCVD)ツールにおいて擬似格子整合またはエピタキシャル層を形成するステップを含み、ツール内の温度を約600℃まで上昇させ、Si含有ガスおよびGe含有ガスの双方を導入する。エピタキシャル堆積のために基板を化学的に準備するための方法を記述する。これは、基板を、オゾン、希薄HF、脱イオン化水、HCl酸および脱イオン化水を含む一連の槽にそれぞれ浸漬し、その後、基板を不活性雰囲気において乾燥させて、不純物のない、RMS粗さが約0.1nm未満の基板表面を得る。
【選択図】 図1

Description

本発明は、特に圧縮歪みSiGe材料に対する高性能相補型金属酸化膜半導体(CMOS)トランジスタ・デバイス設計および材料プロセスに関する。
CMOSトランジスタ・デバイスが小型化するにつれて、回路性能を向上させる方法がますます重要になっている。これを達成する手法の1つは、チャネル領域においてキャリア移動度を高めること、すなわち、電子およびホールの移動度を高めることである。これは、いくつかの方法によって実行可能である。
1.シリコン基板上で異なるSi格子寸法を用いて、歪みを得る。一般に、緩和SiGeバッファ上の歪みシリコンまたはSOI上の歪みシリコン(SSDOI)は、高Ge濃度のSiGe合金において、N−FETについて約2倍の電子移動度の向上、およびP−FETについて50%のホール移動度の向上を示している。これは概ね、二軸性引張り歪みのもとにあるシリコンによって得られる。しかしながら、この引張り歪みSiのほとんどは、高い密度の欠陥で構成される。
2.Si<110>基板等の異なる表面配向シリコン上にMOSFETを製造すると、P−FETにおいて1.5倍までのホール移動度の向上が示されているが、N−FETからの電子移動度は実質的に劣化する。IEDM2003において、Min Yangによって述べられたハイブリッド配向基板は、Si<110>基板をSi<100>基板と組み合わせ、これによって、Si<110>上にP−FETを形成してホール移動度の向上を図り、Si<100>上にN−FETを形成してN−FET性能を維持した。
CMOSにおいて、ホールおよび電子キャリアの双方の向上を得るための解決策が求められている。
本発明は、キャリア移動度が向上した半導体材料を提供する。これは、二軸性圧縮歪みのもとにある<110>表面結晶配向を有するSiGe合金層を含む。二軸性圧縮歪みは、半導体材料の成長中にSiGe合金層の面において誘発される縦方向の圧縮応力および横方向の圧縮応力によって引き起こされる正味(net)応力を表す。
SiGe層において二軸性圧縮歪みを形成するには、SiまたはSiGe等、より小さい格子間間隔を有するベース層または基板の上に層をエピタキシャル形成すれば良い。ここで、Geの濃度は、上にある圧縮歪み層におけるGeよりも低い。
SiまたはSiGe層において二軸性引張り歪みを形成するには、SiGe等、より大きい格子間間隔を有するベース層または基板の上に層をエピタキシャル形成すれば良い。ここで、Geの濃度は、上にある引張り歪み層におけるGeよりも大きい。
本発明の半導体材料は、二軸性圧縮ひずみを有するSiGe合金層の<110>表面配向を含み、N−MOSおよびP−MOS双方の電界効果トランジスタについて移動度の向上が得られる。
本発明の別の態様は、本発明の半導体材料を形成する方法に関し、本発明の方法は、シリコン−ゲルマニウム合金<110>層を設けるステップを含み、このシリコン−ゲルマニウム合金含有<110>層は二軸性圧縮歪みを有する。
一実施形態において、<110>表面配向および二軸性圧縮歪みを有するSiGe合金層は、以下のステップを含む方法によって製造される。
SiまたはSiGe<110>基板表面を処理するため、DI水(純水)において23℃で10ppmオゾンの使用、希薄フッ化水素酸100:1の1分間の使用、DI水による5分間の洗浄、DI水において23℃で1:100の体積比のフッ化水素酸の使用、最後にDI水による5分間の洗浄を行う。次いで、N2等の不活性雰囲気において30℃を超える温度で温め、洗浄および乾燥させる。
次に、上述の洗浄プロセスによって処理したSiまたはSiGe<110>基板上でエピタキシャル結晶圧縮歪みSiGe合金層を形成する。シランおよびゲルマン(Germane)ガスを用いて、急速熱化学的気相堆積(RTCVD)システムによって成長させ、温度は600℃から650℃の範囲とし、圧力は20トールに等しくする。我々の場合、圧縮歪み22%SiGe合金は厚さを20nm未満とし、100sccmのシラン、40sccmのゲルマン、600℃の温度、および7トールの圧力を用いて、134秒とした。このSiGe層は、SiまたはSiGe<110>基板上で圧縮歪みまたは擬似格子整合(pseudomorphic)であった。AFMによる表面粗さは0.2nm未満であり、欠陥密度はデバイス品質の範囲内である(5x107欠陥/cm未満)。
更に、SiまたはSiGe<110>基板上のエピタキシャル結晶圧縮歪みSiGe合金層は、Applied Material Corporationによって製造された急速熱化学的気相堆積(RTCVD)システム、HTFモデルのCentraプラットフォームによって、成長させることができる。このシステムは、6個のチャンバ、2個のロードロック、1個の転送チャンバ、1個の急速熱アニール(RTP)チャンバ、2個の高温ポリシリコン(HTP)チャンバから成る。圧縮歪みSiGe合金層は、HTPチャンバにおいて、600℃から650℃の範囲で成長させる。
更に、浅いトレンチ分離を用いて、エピタキシャル結晶圧縮ひずみSiGe合金領域上に、CMOSデバイスを形成することができる。
更に、浅いトレンチ分離を用いて、エピタキシャル結晶圧縮ひずみSiGe合金領域上に、誘電率が3.9よりも高い金属酸化物、金属シリケート等の高Kゲート誘電体を有するCMOSデバイスを形成することができる。
更に、浅いトレンチ分離を用いて、エピタキシャル圧縮ひずみSiGe合金領域上において、ゲート誘電体または高K誘電体上に、金属ゲートおよび金属シリケートを有するCMOSデバイスを形成することができる。
本発明のこれらおよびその他の特徴、目的、および利点は、以下の本発明の詳細な説明を図面と関連付けて読で考察することによって明らかとなろう。
図面、特に図1を参照すると、TEM顕微鏡写真が、単結晶シリコン基板16の(110)表面14上のSiGe合金層12を示している。SiGe合金層12におけるGe濃度は22%であり、これは、急速熱化学的気相堆積(RTCVD)プロセスによって成長させた。層12の厚さは18nmである。層12の上に、厚さが5nmのSiのキャップ層18を成長させている。
層12を堆積する前に、基板16の上面14を化学的に処理した。図2は、化学的処理後の表面14の一部の原子間力顕微鏡(AFM:Atomic Force Microscope)画像を示す。化学的な処理は、0.2nm未満の表面粗さを有するSiまたはSiGe基板16を選択し、基板16を脱イオン化水において23℃で10PPMオゾンの第1の槽に浸漬し、基板16を希薄HF100:1の第2の槽に少なくとも1分間浸漬し、基板16を脱イオン化水の第3の槽に少なくとも5分間浸漬し、基板16をHCl酸および脱イオン化水の少なくとも1:100の約23℃の第4の槽に浸漬し、基板16を脱イオン化水の第5の槽に少なくとも5分間浸漬し、基板16を前記第5の槽から取り出して、例えば窒素を含む不活性雰囲気において少なくとも30℃の温度で基板16を乾燥することを含む。RMSによる表面粗さは0.109nmに等しく、Z範囲は1.174nmに等しかった。これは、最初のSi<110>表面と同等である。
SiGeエピタキシャル層12を形成することができるが、このためには、<110>において上面を有するSiまたはSiGeの単結晶基板16を選択するステップと、単結晶基板16を急速化学的気相堆積ツール内に装着するステップと、ツール内の圧力を0.2トール未満に低下させるステップと、ツール内の温度を約600℃まで上昇させるステップと、例えばシランのようなSi含有ガスおよび例えばゲルマンのようなGe含有ガスの双方を導入し、これによって、基板16の上に、前記基板とは異なるGe濃度を有するSiGeの擬似格子整合層を形成し、これによって擬似格子整合層12を歪ませる、ステップと、を行う。
図3は、層12のRAMAN分析を、厚さの関数として示す。図3において、縦座標は緩和(relaxation)の百分率を表し、横座標は厚さをnmで表す。曲線30は曲線部分34を有し、ここで層12は擬似格子整合であり、曲線30の点35において、層12の緩和が開始する。曲線部分36は、層12の厚さと共に緩和が急速であることを示す。層12が20nm未満である限り、層12は擬似格子整合であり続ける。擬似格子整合は、表面格子に対してエピタキシャルまたは格子整合あるいは結合している(coherence)またはその両方であることを示す。このため、22%のSiGeの格子間間隔は、Siよりも通常大きく、擬似格子整合であることによって圧縮性の歪みがかかる。<110>についてSiにおける格子間間隔は、xおよびy方向において5.4オングストロームである。Geの格子間間隔は、xおよびy方向において5.6オングストロームであり、Siよりも約4%大きい。SiGeの合金は、SiおよびGeの濃度の線形の関数として格子間間隔を有する。このため、SiGeにおいて22%Ge濃度である結果として、層12が<110>Siについて擬似格子整合である場合、圧縮歪みは約1%になる。圧縮は、<100>Siについて同一である。曲線32は、厚さの関数としてSiGe層<100>の緩和を示す。
図4の曲線40は、<110>表面配向Si基板上のSiGe合金の臨界(critical)厚さを示す。図4において、縦座標は臨界厚さをnmで表し、横座標はSiGe合金におけるGeの百分率を表す。図4において、曲線40より下の厚さを有するSiGeの層12は擬似格子整合である。
図5の曲線50〜53は、MOSFETの製造中のRAMAN分析によるSiGe合金層12の熱安定性を示す。図5において、縦座標は強度を任意単位(a.b.u.)で表し、横座標は波数をcm−1(1/cm)で表す。ラムダ(λ)は325nmに等しかった。曲線50は、Si<110>の波数520cm−1を示す。曲線51〜53は、1000℃で400秒までの急速熱アニーリング(RTA)による熱サイクルの後の、約514cm−1の波数を示す。図5において、曲線51〜53は、1000℃のRTAの間に層12が擬似格子整合のままであったことを示す。RTAの間またはその後に、層12の緩和は観察されなかった。
図6は、Si基板16の<110>表面14上の圧縮性歪み22%SiGe合金層12上に形成したMOSFETデバイスの走査顕微鏡画像である。基板16の上面14は、まず化学的に処理した。次いで、基板16上に層12を形成した。次に、基板16に浅いトレンチ分離(STI)領域60を形成して、形成すべきMOSFETの電気的絶縁を設けた。次に、層12の上にゲート誘電体層62を成長させた。ゲート誘電体層62は、約2.5nm厚さのNO酸化物とした。ゲート誘電体層62は、SiGe合金層12まで達する。ゲート誘電体層62の下に、SiGe合金層12上に0.5nm未満のSiのキャップ層18が残されている。これは、NO酸化物がSiGe合金層12に接していることを意味する。次に、ゲート誘電体層62の上にポリシリコン層64を形成した。次に、マスクを形成して反応性イオン・エッチング(RIE)によって、層64およびゲート誘電体層62をリソグラフィによってパターニングし、MOSFET66のゲート誘電体63およびゲート電極65を形成した。次に、ゲートのソースおよびドレインに対する自己整合を用いて、イオン注入によってソース68およびドレイン69を形成した。次に、ポリシリコン・ゲート65に隣接して側壁スペーサ70を形成した。
図7は、有効ホール移動度対反転キャリア密度のグラフである。図7において、縦座標は有効ホール移動度をcm2/Vsecで表し、横座標は反転キャリア密度を1/cm2で表す。曲線74は、層12、図6に示すMOSFET66のチャネルにおける、ホール移動度のプロットである。測定し次いでプロットして曲線74を形成したホール移動度は、曲線75に示すSi<110>のホール移動度よりも約10%高い。曲線75におけるホール移動度は、曲線76においてプロットしたSi<100>のホール移動度よりも約180%高い。
これまで説明し例示したのは、
1.Si<110>基板上に擬似格子整合SiGeチャネル層を含むMOSFETデバイス、
2.RTCVDによって擬似格子整合SiGe層を形成する方法、および、RTCVDの前にシリコン表面を化学的に処理する方法であるが、当業者には、変更および変形が、添付の特許請求の範囲によってのみ限定される本発明の広範な範囲から逸脱することなく、可能であることは明らかであろう。
(110)表面配向シリコン基板上のRTCVD成長22%SiGe合金層上の5nmSiキャップのTEM顕微鏡写真を示す。 Si<110>表面のための化学的処理(洗浄)シーケンスのあとのAFM画像を示す。 圧縮歪みまたは擬似格子整合である厚さが20nm未満の(110)表面配向シリコン基板上のSiGe22%GeのRAMAN分析の曲線を示す。 (110)表面配向シリコン基板上のSiGe合金について臨界厚さ曲線を示す。 RAMAN分析による(110)表面配向シリコン基板上の22%SiGe合金層の熱安定性を示す。 (110)表面配向シリコン基板上の圧縮歪み22%SiGe合金層上に形成したMOSFETを示す。 ホール移動度対反転電荷のグラフであり、22%SiGe層<110>上のホール移動度が、IEDM、2003においてMin Yangによって報告されたSi<110>よりも約10から15%高く、Si層<100>、制御層よりも約180%高いことを示す。

Claims (24)

  1. キャリアを伝導するための構造であって、
    <110>において上面を有するSiまたはSiGeの単結晶基板と、
    前記基板の上に形成したSiGeの擬似格子整合層であって、前記基板よりもGe濃度が高く、これによって圧縮性の歪みがかかっている、擬似格子整合層と、
    を含む、構造。
  2. 前記擬似格子整合層の上にゲート誘電体を更に含む、請求項1に記載の構造。
  3. 前記ゲート誘電体の上にゲート電極を更に含む、請求項2に記載の構造。
  4. 前記ゲート誘電体の各側において前記擬似格子整合層に形成されたソースおよびドレイン領域を更に含み、前記ソースおよびドレイン領域の間にチャネルを形成する、請求項3に記載の構造。
  5. 前記ゲート誘電体の上にポリシリコン・ゲート電極を更に含み、MOSFETを形成する、請求項2に記載の構造。
  6. 前記ゲート誘電体の上にポリシリコン・ゲルマニウム・ゲート電極を更に含む、請求項2に記載の構造。
  7. 前記ゲート誘電体の上に金属および金属シリサイドのゲート電極の一方を更に含む、請求項2に記載の構造。
  8. 前記ゲート誘電体が3.9よりも大きい誘電率を有する、請求項2に記載の構造。
  9. 前記基板の表面が0.1nmのRMSを有する、請求項1に記載の構造。
  10. 前記SiGeの擬似格子整合層が20nm未満の厚さを有する、請求項1に記載の構造。
  11. キャリアを伝導するための構造を形成するための方法であって、
    <110>において上面を有するSiまたはSiGeの単結晶基板を選択するステップと、
    前記基板の上に形成したSiGeの擬似格子整合層であって、前記基板よりもGe濃度が高く、これによって圧縮性の歪みがかかっている、擬似格子整合層を形成するステップと、
    を含む、方法。
  12. 前記擬似格子整合層の上にゲート誘電体を形成するステップを更に含む、請求項11に記載の方法。
  13. 前記ゲート誘電体の上にゲート電極を形成するステップを更に含む、請求項12に記載の方法。
  14. 前記ゲート誘電体の各側において前記擬似格子整合層にソースおよびドレイン領域を形成して前記ソースおよびドレイン領域の間にチャネルを形成するステップを更に含む、請求項13に記載の方法。
  15. 前記ゲート誘電体の上にポリシリコン・ゲート電極を形成してMOSFETを形成するステップを更に含む、請求項12に記載の方法。
  16. 前記ゲート誘電体の上にポリシリコン・ゲルマニウム・ゲート電極を形成するステップを更に含む、請求項12に記載の方法。
  17. 前記ゲート誘電体の上に金属および金属シリサイドのゲート電極の一方を形成するステップを更に含む、請求項12に記載の方法。
  18. 3.9よりも大きい誘電率を有する前記ゲート誘電体を選択するステップを更に含む、請求項12に記載の方法。
  19. 0.1nmのRMS未満の前記基板の表面を化学的に処理するステップを更に含む、請求項11に記載の方法。
  20. 20nm未満の厚さを有する前記SiGeの擬似格子整合層を形成するステップを更に含む、請求項11に記載の方法。
  21. 半導体エピタキシャル層を形成するための方法であって、
    <110>において上面を有するSiまたはSiGeの単結晶基板を選択するステップと、
    前記単結晶基板を急速化学的気相堆積ツール内に装着するステップと、
    前記ツール内の圧力を0.2トール未満に低下させるステップと、
    前記ツール内の温度を600℃まで上昇させるステップと、
    Si含有ガスおよびGe含有ガスの双方を導入し、これによって、前記基板の上に、前記基板とは異なるGe濃度を有するSiGeの擬似格子整合層を形成し、これによって前記擬似格子整合層を歪ませる、ステップと、
    を含む、方法。
  22. 0.1nmのRMS粗さ未満の前記基板の表面を化学的に処理するステップを更に含む、請求項21に記載の方法。
  23. 前記ツール内の温度を400℃未満に低下させる時間期間の後、エピタキシャル成長を終了させるステップを更に含む、請求項21に記載の方法。
  24. エピタキシャル堆積のために基板を化学的に処理するための方法であって、
    0.2nm未満の表面粗さを有するSiまたはSiGe基板を選択するステップと、
    前記基板を脱イオン化水において23℃で10PPMオゾンの第1の槽に浸漬するステップと、
    前記基板を希薄HF100:1の第2の槽に少なくとも1分間浸漬するステップと、
    前記基板を脱イオン化水の第3の槽に少なくとも5分間浸漬するステップと、
    前記基板をHCl酸および脱イオン化水の少なくとも1:100の23℃の第4の槽に浸漬するステップと、
    前記基板を脱イオン化水の第5の槽に少なくとも5分間浸漬するステップと、
    前記基板を前記第5の槽から取り出して、窒素を含む雰囲気において少なくとも30℃の温度で前記基板を乾燥させるステップと、
    を含む、方法。
JP2007518341A 2004-06-24 2005-06-21 CMOSにおいてキャリア移動度を向上させる方法(MOSFETデバイスの圧縮SiGe<110>成長および構造) Expired - Fee Related JP5314891B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/875,727 US7187059B2 (en) 2004-06-24 2004-06-24 Compressive SiGe <110> growth and structure of MOSFET devices
US10/875,727 2004-06-24
PCT/US2005/022643 WO2006002410A2 (en) 2004-06-24 2005-06-21 Compressive sige <110> growth mosfet devices

Publications (3)

Publication Number Publication Date
JP2008504695A true JP2008504695A (ja) 2008-02-14
JP2008504695A5 JP2008504695A5 (ja) 2008-06-19
JP5314891B2 JP5314891B2 (ja) 2013-10-16

Family

ID=35504690

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007518341A Expired - Fee Related JP5314891B2 (ja) 2004-06-24 2005-06-21 CMOSにおいてキャリア移動度を向上させる方法(MOSFETデバイスの圧縮SiGe<110>成長および構造)

Country Status (6)

Country Link
US (1) US7187059B2 (ja)
EP (1) EP1794786A4 (ja)
JP (1) JP5314891B2 (ja)
CN (1) CN101160664B (ja)
TW (1) TW200601419A (ja)
WO (1) WO2006002410A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009523326A (ja) * 2006-01-11 2009-06-18 インターナショナル・ビジネス・マシーンズ・コーポレーション ゲートの頂部が拡張された半導体トランジスタ
JP2010206097A (ja) * 2009-03-05 2010-09-16 Toshiba Corp 半導体素子及び半導体装置
JP2021044327A (ja) * 2019-09-09 2021-03-18 株式会社Sumco 半導体デバイス

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3979412B2 (ja) * 2004-09-29 2007-09-19 株式会社Sumco シリコンエピタキシャルウェーハの製造方法
FR2896620B1 (fr) * 2006-01-23 2008-05-30 Commissariat Energie Atomique Circuit integre tridimensionnel de type c-mos et procede de fabrication
TW200735344A (en) * 2006-03-03 2007-09-16 Univ Nat Chiao Tung N type metal oxide semiconductor transistor structure having compression strain silicon-germanium channel formed on silicon (110) substrate
US7361574B1 (en) * 2006-11-17 2008-04-22 Sharp Laboratories Of America, Inc Single-crystal silicon-on-glass from film transfer
US20080169535A1 (en) * 2007-01-12 2008-07-17 International Business Machines Corporation Sub-lithographic faceting for mosfet performance enhancement
US20090085169A1 (en) * 2007-09-28 2009-04-02 Willy Rachmady Method of achieving atomically smooth sidewalls in deep trenches, and high aspect ratio silicon structure containing atomically smooth sidewalls
US20090242989A1 (en) * 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US7972922B2 (en) * 2008-11-21 2011-07-05 Freescale Semiconductor, Inc. Method of forming a semiconductor layer
US8440547B2 (en) * 2009-02-09 2013-05-14 International Business Machines Corporation Method and structure for PMOS devices with high K metal gate integration and SiGe channel engineering
US8329568B1 (en) * 2010-05-03 2012-12-11 Xilinx, Inc. Semiconductor device and method for making the same
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9466672B1 (en) 2015-11-25 2016-10-11 International Business Machines Corporation Reduced defect densities in graded buffer layers by tensile strained interlayers
JP6890802B2 (ja) * 2016-07-15 2021-06-18 国立大学法人東京農工大学 半導体積層膜の製造方法、および半導体積層膜

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109630A (ja) * 1991-10-16 1993-04-30 Oki Electric Ind Co Ltd 半導体薄膜の形成方法
JPH06252075A (ja) * 1993-02-23 1994-09-09 American Teleph & Telegr Co <Att> ホウ素拡散を行うmosデバイス製造方法
JPH1092947A (ja) * 1996-09-17 1998-04-10 Toshiba Corp 半導体装置及びその製造方法
JP2002270834A (ja) * 2000-12-26 2002-09-20 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2002280384A (ja) * 2001-03-19 2002-09-27 Nippon Telegr & Teleph Corp <Ntt> 薄膜形成方法
JP2002368230A (ja) * 2001-05-14 2002-12-20 Sharp Corp 絶縁体上シリコン基板上に歪Si/SiGe層を用いた良好な移動度を有するNMOSおよびPMOSトランジスタ
JP2004014856A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
JP2004091253A (ja) * 2002-08-30 2004-03-25 Japan Science & Technology Corp 超平坦p型酸化物半導体NiO単結晶薄膜
JP2005019851A (ja) * 2003-06-27 2005-01-20 Sharp Corp 半導体装置及びその製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5486706A (en) * 1993-05-26 1996-01-23 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US5528719A (en) * 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US5833749A (en) * 1995-01-19 1998-11-10 Nippon Steel Corporation Compound semiconductor substrate and process of producing same
JP3292101B2 (ja) * 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6581387B1 (en) * 2001-02-12 2003-06-24 The United States Of America As Represented By The United States Department Of Energy Solid-state microrefrigerator
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20030012925A1 (en) * 2001-07-16 2003-01-16 Motorola, Inc. Process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same and including an etch stop layer used for back side processing
JP4004809B2 (ja) * 2001-10-24 2007-11-07 株式会社東芝 半導体装置及びその動作方法
US7061014B2 (en) * 2001-11-05 2006-06-13 Japan Science And Technology Agency Natural-superlattice homologous single crystal thin film, method for preparation thereof, and device using said single crystal thin film
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
JP2003327497A (ja) * 2002-05-13 2003-11-19 Sumitomo Electric Ind Ltd GaN単結晶基板、窒化物系半導体エピタキシャル基板、窒化物系半導体素子及びその製造方法
AU2003238963A1 (en) * 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US20040038082A1 (en) * 2002-08-26 2004-02-26 Toshihiro Tsumori Substrate for perpendicular magnetic recording hard disk medium and method for producing the same
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109630A (ja) * 1991-10-16 1993-04-30 Oki Electric Ind Co Ltd 半導体薄膜の形成方法
JPH06252075A (ja) * 1993-02-23 1994-09-09 American Teleph & Telegr Co <Att> ホウ素拡散を行うmosデバイス製造方法
JPH1092947A (ja) * 1996-09-17 1998-04-10 Toshiba Corp 半導体装置及びその製造方法
JP2002270834A (ja) * 2000-12-26 2002-09-20 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2002280384A (ja) * 2001-03-19 2002-09-27 Nippon Telegr & Teleph Corp <Ntt> 薄膜形成方法
JP2002368230A (ja) * 2001-05-14 2002-12-20 Sharp Corp 絶縁体上シリコン基板上に歪Si/SiGe層を用いた良好な移動度を有するNMOSおよびPMOSトランジスタ
JP2004014856A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
JP2004091253A (ja) * 2002-08-30 2004-03-25 Japan Science & Technology Corp 超平坦p型酸化物半導体NiO単結晶薄膜
JP2005019851A (ja) * 2003-06-27 2005-01-20 Sharp Corp 半導体装置及びその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009523326A (ja) * 2006-01-11 2009-06-18 インターナショナル・ビジネス・マシーンズ・コーポレーション ゲートの頂部が拡張された半導体トランジスタ
JP2010206097A (ja) * 2009-03-05 2010-09-16 Toshiba Corp 半導体素子及び半導体装置
US8013396B2 (en) 2009-03-05 2011-09-06 Kabushiki Kaisha Toshiba Semiconductor component and semiconductor device
JP2021044327A (ja) * 2019-09-09 2021-03-18 株式会社Sumco 半導体デバイス
JP7215683B2 (ja) 2019-09-09 2023-01-31 株式会社Sumco 半導体デバイス

Also Published As

Publication number Publication date
WO2006002410A2 (en) 2006-01-05
EP1794786A4 (en) 2008-12-24
CN101160664A (zh) 2008-04-09
TW200601419A (en) 2006-01-01
EP1794786A2 (en) 2007-06-13
JP5314891B2 (ja) 2013-10-16
US20050285159A1 (en) 2005-12-29
WO2006002410A3 (en) 2007-12-06
US7187059B2 (en) 2007-03-06
CN101160664B (zh) 2010-09-08

Similar Documents

Publication Publication Date Title
JP5314891B2 (ja) CMOSにおいてキャリア移動度を向上させる方法(MOSFETデバイスの圧縮SiGe<110>成長および構造)
US6855990B2 (en) Strained-channel multiple-gate transistor
US7612389B2 (en) Embedded SiGe stressor with tensile strain for NMOS current enhancement
US7897444B2 (en) Strained semiconductor-on-insulator (sSOI) by a simox method
KR101375800B1 (ko) 게이트 산화물 누설 전류가 감소된 대체 금속 게이트 트랜지스터
JP2536426B2 (ja) 半導体装置の製造方法
JP2008504695A5 (ja)
US6235590B1 (en) Fabrication of differential gate oxide thicknesses on a single integrated circuit chip
KR100647457B1 (ko) 반도체 소자 및 그 제조방법
US6350311B1 (en) Method for forming an epitaxial silicon-germanium layer
JP2008283182A (ja) Pmosトランジスタ製造方法及びcmosトランジスタ製造方法
TW200406024A (en) Manufacture method of semiconductor device with gate insulating films of different thickness
US20060234058A1 (en) Silicon carbide product, method for producing same, and method for cleaning silicon carbide product
US6869897B2 (en) Manufacturing method for semiconductor substrate, and semiconductor device having a strained Si layer
KR20120068692A (ko) 반도체 소자 및 그 제조 방법
JP3488914B2 (ja) 半導体装置製造方法
US20060024970A1 (en) Method for preparing a semiconductor substrate surface for semiconductor device fabrication
KR101131418B1 (ko) 반도체 소자 및 이의 제조 방법
US11646196B2 (en) Method for germanium enrichment around the channel of a transistor
JP3933405B2 (ja) 半導体基板、半導体装置及びそれらの製造方法
JPH113872A (ja) 半導体装置の製造方法
JPH02302043A (ja) Mos型半導体装置及びその製造方法
US20070010070A1 (en) Fabrication of strained semiconductor-on-insulator (ssoi) structures by using strained insulating layers
JPH10303417A (ja) 半導体装置の製造方法
CN111952247A (zh) 一种半导体器件及其制备方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080424

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080424

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111018

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120110

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120313

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120604

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120710

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120926

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130618

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130618

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130708

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees