CN101160664A - 压缩SiGe〈110〉生长的MOSFET器件 - Google Patents

压缩SiGe〈110〉生长的MOSFET器件 Download PDF

Info

Publication number
CN101160664A
CN101160664A CNA2005800153966A CN200580015396A CN101160664A CN 101160664 A CN101160664 A CN 101160664A CN A2005800153966 A CNA2005800153966 A CN A2005800153966A CN 200580015396 A CN200580015396 A CN 200580015396A CN 101160664 A CN101160664 A CN 101160664A
Authority
CN
China
Prior art keywords
substrate
sige
pseudo
gate dielectric
crystal layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800153966A
Other languages
English (en)
Other versions
CN101160664B (zh
Inventor
K·K·陈
K·W·瓜里尼
M·耶奥
K·里姆
杨敏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101160664A publication Critical patent/CN101160664A/zh
Application granted granted Critical
Publication of CN101160664B publication Critical patent/CN101160664B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本发明公开了一种用于传导载流子的结构及其形成方法,结合具有〈110〉的上表面的Si或SiGe单晶衬底和SiGe伪晶或外延层,其具有不同于衬底的Ge浓度从而伪晶层处于应变。公开了一种形成半导体外延层的方法,结合在快速热化学气相沉积(RTCVD)工具中通过将工具中的温度升高到约600℃并且引入含Si气体和含Ge气体形成伪晶或外延层的步骤。公开了一种用于外延沉积化学处理衬底的方法,包括如下步骤:将衬底浸入一系列分别含有臭氧,稀HF,去离子水,HCl酸和去离子水的浴中,随后在惰性气氛中干燥衬底以获得无杂质并且具有小于0.1nm的RMS粗糙度的衬底表面。

Description

压缩SiGe〈110〉生长的MOSFET器件
技术领域
本发明涉及先进的互补金属氧化物半导体(CMOS)晶体管器件的设计和材料工艺,更具体地说涉及压缩应变SiGe材料。
背景技术
随着CMOS晶体管器件尺寸的下降,提高电路的性能的方法变得更重要。做此事的一种方法是增强沟道区域中载流子的迁移率;即增强电子或空穴迁移率。这可以通过几种方法实现:
1.在硅衬底上使用不同的Si晶格尺寸以获得应变。一般地,驰豫SiGe过渡层上应变硅或SOI上应变硅(SSDOI)在高Ge浓度SiGe合金下表现出N-FET上约2x的电子迁移率的增强和p-FET上50%的空穴迁移率的增强。其很大程度上是因为硅处在双轴拉伸应变下。然而,大多数这样的拉伸应变Si包括高密度的缺陷。
2.在如Si<110>衬底的不同表面取向硅上制造MOSFET在P-FET中表现出~1.5x的空穴迁移率增强但是N-FET的电子迁移率却有相当大的下降。Min Yang在IEDM 2003上描述了一种混合取向衬底,其将Si<110>衬底和Si<100>衬底结合在一起,以便在Si<110>衬底上制造P-FET用于增强空穴迁移率并且在Si<100>上制造N-FET以保持N-FET的性能。
发明内容
需要一种在CMOS中获得空穴和电子载流子的增强的解决方法。
本发明提供一种具有增强的载流子迁移率的半导体材料,其包括在双轴压缩应变下的具有<110>表面晶向的SiGe合金层。双轴压缩应变表示由纵向压缩应力和横向压缩应力引起的净应力,其在半导体材料生长期间在SiGe合金层的平面内引起。
可以通过在如Si或SiGe的具有较小晶格间隔的基层或衬底上形成层在SiGe层中形成双轴压缩应变,其中Ge的浓度小于在其上的压缩应变层中的Ge浓度。
可以通过在如SiGe的具有较大晶格间隔的基层或衬底上外延形成层在SiGe层中形成双轴拉伸应变,其中Ge的浓度大于在其上的拉伸应变层中的Ge浓度。
本发明的半导体材料包括具有双轴压缩应变的<110>表面取向的SiGe合金层,为N-MOS和P-MOS场效应晶体管提供增强的迁移率。
本发明的另一个方面涉及形成本发明的半导体材料的方法,其中本发明的方法包括如下步骤:提供硅锗合金<110>层;在此硅锗合金含<110>层中具有双轴压缩应变。
在一个实施例中,通过包括如下步骤的方法制造具有<110>表面取向和双轴压缩应变的SiGe合金层。
使用如下步骤处理Si或SiGe<110>衬底表面:23℃的DI水中10ppm的臭氧,100∶1的稀氢氟酸1min,DI水冲洗5min,在23℃的DI水中1∶100体积比的盐酸,最后DI水冲洗5min。然后是在30℃以上在如N2的惰性气氛中加热,冲洗并干燥。
下一步,在Si或SiGe<110>衬底上形成外延结晶压缩应变SiGe合金层,其通过上述清洁工艺处理,通过使用硅烷或锗烷气体的快速热化学气相沉积(RTCVD),在从600℃到650℃的温度范围内,在等于20Torr的气压下生长。在我们的情况下,通过使用100sccm的硅烷,40sccm的锗烷,600℃的温度和7torr的气压下134秒,压缩应变22%SiGe合金的厚度应该低于20nm。此SiGe层在Si或SiGe<110>衬底上是压缩应变或伪晶。通过AFM测量的表面粗糙度低于0.2nm并且缺陷密度在器件的质量范围内(低于5×107缺陷/cm2)。
另外,在Si或SiGe<110>衬底上的外延结晶压缩应变SiGe合金层可以在由Applied Material Corporation,HTF模型的Centura平台建立的快速热化学气相沉积系统(RTCVD)中生长。此系统包括6个室;2个进片室,1个转换室,1个快速热退火(RTP)室,2个高温多晶硅(HTP)室。在600℃到650℃范围内的HTP室中生长压缩应变SiGe合金层。
另外,可以在具有浅沟槽隔离的外延结晶压缩应变SiGe合金区域上形成CMOS器件。
另外,可以在具有浅沟槽隔离的外延结晶压缩应变SiGe合金区域上形成具有如具有高于3.9的介电常数的金属氧化物,金属硅化物的高K栅极介质的CMOS器件。
另外,可以在具有浅沟槽隔离的外延结晶压缩应变SiGe合金区域上的栅极介质或高K介质上形成具有金属栅极和金属硅化物的CMOS器件。
附图说明
通过下面结合附图对本发明的详细描述,本发明的这些和其它特征,目的以及优点将更清楚,其中:
图1示出了在(110)表面取向的硅衬底上的RTCVD生长22%SiGe合金层上的5nm Si覆层的TEM微观图。
图2示出了Si<110>表面在化学处理(清洁)工序后的AFM图像。
图3示出了在(110)表面取向硅衬底上的压缩应变或伪晶的厚度小于20nm的SiGe 22%Ge的RAMAN分析的曲线图。
图4示出了在(110)表面取向硅衬底上的SiGe合金的临界厚度曲线。
图5示出了通过RAMAN分析在(110)表面取向硅衬底上的22%SiGe合金层的热稳定性。
图6示出了在(110)表面取向硅衬底上的压缩应变的22%SiGe合金层上制造的MOSFET。
图7示出了空穴迁移率对反向电荷的曲线图,示出了在22%SiGe层<110>上的空穴迁移率高于Min Yang在IEDM 2003上报道的Si<110>的约10到15%,高于Si层<100>即控制层的约180%。
具体实施方式
参考附图,特别是图1,TEM微观图示出了在单晶硅衬底16的(110)表面14上的SiGe合金层12。在通过快速热化学气相沉积(RTCVD)工艺生长的SiGe合金层12中的Ge浓度为22%。层12的厚度为18nm。在层12上生长厚度为5nm的Si覆层18。
在沉积层12前,化学处理衬底16的上表面14。图2示出了部分表面14在化学处理后的原子力显微(AFM)图像。化学处理包括选择具有表面粗糙度小于0.2nm的Si或SiGe衬底16,将衬底16浸入在23℃下的去离子水中10PPM臭氧的第一浴中,将衬底16浸入稀HF 100∶1的第二浴中至少1分钟,将衬底16浸入去离子水的第三浴中至少5分钟,将衬底16浸入在23℃下的HCl酸和去离子水至少1∶100的第四浴中,将衬底16浸入去离子水的第五浴中至少5分钟,并且从第五浴移出衬底16以在包括如氮气的惰性气氛中并且在至少30℃的温度下干燥衬底16。通过RMS的表面粗糙度等于0.109nm并且Z范围等于1.174nm,其与最初的Si<110>表面相当。
形成SiGe外延层12的步骤包括选择具有<110>的上表面的Si或SiGe单晶衬底16,将单晶衬底16载入快速热化学气相沉积工具中,将工具中的气压降低到0.2Torr以下,升高工具中的温度到约600℃并且引入如硅烷的含硅气体和如锗烷的含锗气体,从而在衬底16上形成SiGe伪晶层,其具有的Ge浓度不同于所述衬底从而伪晶层12是应变层。
图3示出了层12作为厚度的函数的RAMAN分析。在图3中,纵坐标表示驰豫百分比并且横坐标表示nm厚度。曲线30具有曲线部分34,这一部分的层12是伪晶,在曲线30的点35处开始层12的驰豫。曲线部分36示出了随着层12的厚度增加驰豫加速。只要层12低于20nm,层12仍为伪晶。伪晶指外延或晶格匹配和/或与衬底晶格一致。因此SiGe22%的晶格间隔一般大于Si并且通过伪晶处于压缩应变。对110Si中的晶格间隔在x和y方向上为5.4埃。Ge的晶格间隔在x和y方向上为5.6埃,大于Si的约4%。SiGe合金具有的晶格间隔是Si和Ge的浓度的线性函数。因此当层12是<110>Si上的伪晶时,在SiGe中22%的Ge浓度导致约1%的压缩应变。在<100>Si上压缩相同。曲线32示出了SiGe层<100>作为厚度的函数的驰豫。
图4的曲线40示出了在<110>表面取向的Si衬底上的SiGe合金的临界厚度。在图4中,纵坐标表示nm临界厚度,横坐标表示在SiGe合金中Ge的百分比。在图4中,具有的厚度在曲线40下的SiGe的层12为伪晶。
图5的曲线50-53,通过RAMAN分析示出了在MOSFET制造期间SiGe合金层12的热稳定性。在图5中,纵坐标表示a.b.u.强度,横坐标表示1/cm波数。λ等于325nm。曲线50示出了Si 110的波数5201/cm。曲线51-53示出了通过快速热退火(RTA)在1000℃下高达400秒的热循环后约514 1/cm的波数。图5中,曲线51-53显示,在1000℃的RTA期间,层12仍为伪晶。在RTA期间或之后没有观察到层12的驰豫。
图6是在Si衬底16的<110>表面14上的压缩应变22%SiGe合金层12上制造的MOSFET器件的扫描显微图像。首先化学处理衬底16的上表面14。然后在衬底16上制造层12。下一步,在衬底16中形成浅沟槽隔离(STI)区域60以提供将制造的MOSFET的电隔离。下一步,在层12上生长栅极介质层62。栅极介质层62为约2.5nm厚的N2O氧化物。栅极介质层62向下接触SiGe合金层12。在栅极介质层62下在SiGe合金层12上剩余小于0.5nm的Si覆盖层18,意味着N2O氧化物接触SiGe合金层12。下一步,在栅极介质层62上形成多晶硅层64。下一步,通过形成掩膜和反应离子蚀刻(RIE)光刻构图层64和栅极介质层62以形成MOSFET66的栅极介质63和栅极电极65。下一步,通过离子注入形成源极68和漏极69,使用栅极用于源极和漏极的自对准。下一步,与多晶硅栅极65相邻形成侧壁隔离物70。
图7是有效空穴迁移率对反向载流子密度的曲线图。在图7中,纵坐标表示cm2/Vsec的有效空穴迁移率,横坐标表示1/cm2的反向载流子密度。曲线74是在层12即图6中示出的MOSFET 66的沟道中空穴迁移率的分布。测量并然后绘制以形成曲线74的空穴迁移率高于在曲线75中示出的Si<110>的空穴迁移率的约10%。在曲线75中的空穴迁移率高于曲线76示出的Si<100>的空穴迁移率的约180%。
已经描述和示出的是:
1.MOSFET器件包括在Si<110>衬底上的伪晶SiGe沟道层。
2.通过RTCVD形成伪晶SiGe层的方法和在RTCVD前化学处理硅表面的方法。
3.对本领域的技术人员明显的是在不脱离仅通过附加权利要求的范围限制的本发明的广义范围下,可以进行各种修改和改变。

Claims (24)

1.一种用于传导载流子的结构,包括:
Si或SiGe的单晶衬底,具有<110>的上表面,以及
SiGe伪晶层,在所述衬底上形成,具有的Ge浓度高于所述衬底的Ge浓度,从而所述伪晶层处于压缩应变。
2.根据权利要求1的结构,还包括在所述伪晶层上的栅极介质。
3.根据权利要求2的结构,还包括在所述栅极介质上的栅极电极。
4.根据权利要求3的结构,还包括在所述伪晶层中在所述栅极介质的任一侧上形成的源极和漏极区域,以在其之间形成沟道。
5.根据权利要求2的结构,还包括在所述栅极介质上的多晶硅栅极电极以形成MOSFET。
6.根据权利要求2的结构,还包括在所述栅极介质上的多晶硅锗栅极电极。
7.根据权利要求2的结构,还包括在所述栅极介质上的金属和金属硅化物栅极电极中的一个。
8.根据权利要求2的结构,其中所述栅极介质具有大于3.9的介电常数。
9.根据权利要求1的结构,其中所述衬底表面具有约0.1nm的RMS。
10.根据权利要求1的结构,其中所述SiGe伪晶层具有小于20nm的厚度。
11.一种用于形成用于传导载流子的结构的方法,包括如下步骤:
选择具有<110>的上表面的Si或SiGe的单晶衬底,以及
在所述衬底上形成SiGe伪晶层,其具有的Ge浓度高于所述衬底的Ge浓度,从而所述伪晶层处于压缩应变。
12.根据权利要求11的方法,还包括在所述伪晶层上形成栅极介质的步骤。
13.根据权利要求12的方法,还包括在所述栅极介质上形成栅极电极的步骤。
14.根据权利要求13的方法,还包括在所述伪晶层中在所述栅极介质的任一侧上形成源极和漏极区域以在其之间形成沟道的步骤。
15.根据权利要求12的方法,还包括在所述栅极介质上形成多晶硅栅极电极以形成MOSFET的步骤。
16.根据权利要求12的方法,还包括在所述栅极介质上形成多晶硅锗栅极电极的步骤。
17.根据权利要求12的方法,还包括在所述栅极介质上形成金属和金属硅化物栅极电极中的一个的步骤。
18.根据权利要求12的方法,还包括选择介电常数大于3.9的所述栅极介质的步骤。
19.根据权利要求11的方法,还包括化学处理所述衬底表面到低于约0.1nm的RMS的步骤。
20.根据权利要求11的方法,还包括形成厚度小于20nm的所述SiGe伪晶层的步骤。
21.一种用于形成半导体外延层的方法,包括如下步骤:
选择具有<110>的上表面的Si或SiGe的单晶衬底,
将所述单晶衬底载入快速热化学气相沉积工具中,降低所述工具中的气压到低于0.2Torr,
升高所述工具中的温度到约600℃,以及
引入含Si气体和含Ge气体,从而在所述衬底上形成SiGe伪晶层,其具有的Ge浓度不同于所述衬底的Ge浓度,从而所述伪晶层处于应变。
22.根据权利要求21的方法,还包括化学处理所述衬底表面到低于约0.1nm的RMS粗糙度的步骤。
23.根据权利要求21的方法,还包括在一段时间内降低所述工具中的温度到低于400℃从而停止外延生长的步骤。
24.一种用于外延沉积化学处理衬底的方法,包括如下步骤:
选择具有小于0.2nm的表面粗糙度的Si或SiGe衬底,
将所述衬底浸入在23℃下的去离子水中的10PPM臭氧的第一浴中,
将所述衬底浸入稀HF 100∶1的第二浴中至少1分钟,
将所述衬底浸入去离子水的第三浴中至少5分钟,
将所述衬底浸入在23℃下的HCl酸和去离子水至少1∶100的第四浴中,
将所述衬底浸入去离子水的第五浴中至少5分钟,以及
从所述第五浴移出所述衬底,以在包括如氮气的气氛中在至少30℃的温度下干燥所述衬底。
CN2005800153966A 2004-06-24 2005-06-21 压缩SiGe<110>生长的MOSFET器件及其制造方法 Expired - Fee Related CN101160664B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/875,727 2004-06-24
US10/875,727 US7187059B2 (en) 2004-06-24 2004-06-24 Compressive SiGe <110> growth and structure of MOSFET devices
PCT/US2005/022643 WO2006002410A2 (en) 2004-06-24 2005-06-21 Compressive sige <110> growth mosfet devices

Publications (2)

Publication Number Publication Date
CN101160664A true CN101160664A (zh) 2008-04-09
CN101160664B CN101160664B (zh) 2010-09-08

Family

ID=35504690

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800153966A Expired - Fee Related CN101160664B (zh) 2004-06-24 2005-06-21 压缩SiGe<110>生长的MOSFET器件及其制造方法

Country Status (6)

Country Link
US (1) US7187059B2 (zh)
EP (1) EP1794786A4 (zh)
JP (1) JP5314891B2 (zh)
CN (1) CN101160664B (zh)
TW (1) TW200601419A (zh)
WO (1) WO2006002410A2 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3979412B2 (ja) * 2004-09-29 2007-09-19 株式会社Sumco シリコンエピタキシャルウェーハの製造方法
US7473593B2 (en) * 2006-01-11 2009-01-06 International Business Machines Corporation Semiconductor transistors with expanded top portions of gates
FR2896620B1 (fr) * 2006-01-23 2008-05-30 Commissariat Energie Atomique Circuit integre tridimensionnel de type c-mos et procede de fabrication
TW200735344A (en) * 2006-03-03 2007-09-16 Univ Nat Chiao Tung N type metal oxide semiconductor transistor structure having compression strain silicon-germanium channel formed on silicon (110) substrate
US7361574B1 (en) * 2006-11-17 2008-04-22 Sharp Laboratories Of America, Inc Single-crystal silicon-on-glass from film transfer
US20080169535A1 (en) * 2007-01-12 2008-07-17 International Business Machines Corporation Sub-lithographic faceting for mosfet performance enhancement
US20090085169A1 (en) * 2007-09-28 2009-04-02 Willy Rachmady Method of achieving atomically smooth sidewalls in deep trenches, and high aspect ratio silicon structure containing atomically smooth sidewalls
US20090242989A1 (en) * 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US7972922B2 (en) * 2008-11-21 2011-07-05 Freescale Semiconductor, Inc. Method of forming a semiconductor layer
US8440547B2 (en) * 2009-02-09 2013-05-14 International Business Machines Corporation Method and structure for PMOS devices with high K metal gate integration and SiGe channel engineering
JP4875115B2 (ja) * 2009-03-05 2012-02-15 株式会社東芝 半導体素子及び半導体装置
US8329568B1 (en) * 2010-05-03 2012-12-11 Xilinx, Inc. Semiconductor device and method for making the same
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9466672B1 (en) 2015-11-25 2016-10-11 International Business Machines Corporation Reduced defect densities in graded buffer layers by tensile strained interlayers
EP3486940A4 (en) * 2016-07-15 2020-02-19 National University Corporation Tokyo University of Agriculture and Technology METHOD FOR MANUFACTURING SEMICONDUCTOR LAMINATE FILM AND SEMICONDUCTOR LAMINATE FILM
JP7215683B2 (ja) * 2019-09-09 2023-01-31 株式会社Sumco 半導体デバイス

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109630A (ja) * 1991-10-16 1993-04-30 Oki Electric Ind Co Ltd 半導体薄膜の形成方法
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5486706A (en) * 1993-05-26 1996-01-23 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US5281552A (en) * 1993-02-23 1994-01-25 At&T Bell Laboratories MOS fabrication process, including deposition of a boron-doped diffusion source layer
US5528719A (en) * 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US5833749A (en) * 1995-01-19 1998-11-10 Nippon Steel Corporation Compound semiconductor substrate and process of producing same
JP3311940B2 (ja) * 1996-09-17 2002-08-05 株式会社東芝 半導体装置及びその製造方法
JP3292101B2 (ja) * 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
JP3618319B2 (ja) * 2000-12-26 2005-02-09 松下電器産業株式会社 半導体装置及びその製造方法
US6581387B1 (en) * 2001-02-12 2003-06-24 The United States Of America As Represented By The United States Department Of Energy Solid-state microrefrigerator
JP3593049B2 (ja) * 2001-03-19 2004-11-24 日本電信電話株式会社 薄膜形成方法
US20020167048A1 (en) * 2001-05-14 2002-11-14 Tweet Douglas J. Enhanced mobility NMOS and PMOS transistors using strained Si/SiGe layers on silicon-on-insulator substrates
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20030012925A1 (en) * 2001-07-16 2003-01-16 Motorola, Inc. Process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same and including an etch stop layer used for back side processing
JP4004809B2 (ja) * 2001-10-24 2007-11-07 株式会社東芝 半導体装置及びその動作方法
US7061014B2 (en) * 2001-11-05 2006-06-13 Japan Science And Technology Agency Natural-superlattice homologous single crystal thin film, method for preparation thereof, and device using said single crystal thin film
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
JP2003327497A (ja) * 2002-05-13 2003-11-19 Sumitomo Electric Ind Ltd GaN単結晶基板、窒化物系半導体エピタキシャル基板、窒化物系半導体素子及びその製造方法
JP2004014856A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
AU2003238963A1 (en) * 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US20040038082A1 (en) * 2002-08-26 2004-02-26 Toshihiro Tsumori Substrate for perpendicular magnetic recording hard disk medium and method for producing the same
JP4014473B2 (ja) * 2002-08-30 2007-11-28 独立行政法人科学技術振興機構 超平坦p型酸化物半導体NiO単結晶薄膜の製造方法
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
JP2005019851A (ja) * 2003-06-27 2005-01-20 Sharp Corp 半導体装置及びその製造方法
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same

Also Published As

Publication number Publication date
CN101160664B (zh) 2010-09-08
JP2008504695A (ja) 2008-02-14
JP5314891B2 (ja) 2013-10-16
EP1794786A2 (en) 2007-06-13
US20050285159A1 (en) 2005-12-29
WO2006002410A3 (en) 2007-12-06
US7187059B2 (en) 2007-03-06
EP1794786A4 (en) 2008-12-24
WO2006002410A2 (en) 2006-01-05
TW200601419A (en) 2006-01-01

Similar Documents

Publication Publication Date Title
CN101160664B (zh) 压缩SiGe&lt;110&gt;生长的MOSFET器件及其制造方法
US7494884B2 (en) SiGe selective growth without a hard mask
US7309660B2 (en) Buffer layer for selective SiGe growth for uniform nucleation
US7361563B2 (en) Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7943469B2 (en) Multi-component strain-inducing semiconductor regions
KR100822918B1 (ko) 회로 장치와 회로 장치 제조 방법
US7611973B2 (en) Methods of selectively forming epitaxial semiconductor layer on single crystalline semiconductor and semiconductor devices fabricated using the same
US7550758B2 (en) Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7678631B2 (en) Formation of strain-inducing films
JP2008504695A5 (zh)
US7462525B2 (en) Enhancement of electron and hole mobilities in &lt;110&gt; Si under biaxial compressive strain
US7303966B2 (en) Semiconductor device and method of manufacturing the same
CN1732556A (zh) 厚应变硅层及含有厚应变硅层的半导体结构的形成方法
US6878592B1 (en) Selective epitaxy to improve silicidation
US6869897B2 (en) Manufacturing method for semiconductor substrate, and semiconductor device having a strained Si layer
US9496341B1 (en) Silicon germanium fin
Lu et al. Integration of Low Temperature SiGe: B Raised Sources and Drains in p-Type FDSOI Field Effect Transistors
Pangal et al. Integrated amorphous and polycrystalline silicon thin-film transistors in a single silicon layer
Hikavyy et al. Application of HCl gas phase etch in the production of novel devices
KR101070869B1 (ko) 쇼트키 장벽 트랜지스터 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100908

Termination date: 20120621