JP2006526900A - 調節可能ガス供給システム - Google Patents

調節可能ガス供給システム Download PDF

Info

Publication number
JP2006526900A
JP2006526900A JP2006515011A JP2006515011A JP2006526900A JP 2006526900 A JP2006526900 A JP 2006526900A JP 2006515011 A JP2006515011 A JP 2006515011A JP 2006515011 A JP2006515011 A JP 2006515011A JP 2006526900 A JP2006526900 A JP 2006526900A
Authority
JP
Japan
Prior art keywords
insert
passage
outlet
disposed
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006515011A
Other languages
English (en)
Inventor
ジェイ ビー ディドントニー
ジャック シー ヤオ
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2006526900A publication Critical patent/JP2006526900A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

本発明は、複数の排出口と、この排出口の少なくとも1つの中に配置された少なくとも1つの交換可能な挿入体とを含むガス供給装置を提供する。挿入体は、排出口の少なくとも1つの寸法及び/又は排出口の少なくとも1つから排出されるガスの方向を変えるように適合された通路を備える。挿入体は、実質的に直線状で且つ円筒形とすることができる通路を備える。該通路は、より小さい口径の第1の部分とより大きい口径の第2の部分とを有し、ガス供給装置内の排出口通路の寸法を選択的に変えることができる。或いは挿入体は、主通路と、該主通路から分岐し且つ傾斜された複数の二次通路とを備える。主通路と二次通路との間の角度は、約10度〜約90度の範囲内にある。1つの実施形態では、主通路と二次通路との間の角度は約90度である。

Description

(関連出願の相互参照)
本出願は、2003年5月30日に出願された米国特許仮出願第60/475,079号に基づく恩恵及び優先権を主張し、本開示内容は引用により本明細書に組み込まれる。
本発明は、一般に半導体デバイス及び加工の分野に関する。より具体的には、本発明は、半導体製造に有用な調節可能ガス供給装置に関する。
ウェーハ加工反応器システム及び方法は、半導体及び集積回路の製造に広く用いられている。1つの特定のタイプのウェーハ加工システムは、化学蒸着(CVD)を用いて、半導体及び集積回路の製造の一段階として、基材の表面上に膜又は層を堆積させる。従来技術で説明されるように、半導体デバイスの製造における化学蒸着(又はある幾何形状的実体形において反応生成物が堆積又は形成されるよう望まれる他の化学反応器)においては、多くの場合、望ましい最終結果を達成するために必要な変化を与える単純な基本設計から、ガス分散装置を変更する必要がある。このようなシステムの実施例は、例えば、とりわけ米国特許第6,415,736号、6,410,089号、6,284,673号、6,050,506号、6,184,986号及び6,126,753号に示されている。
原子層堆積(ALD)は、極めて薄い膜を堆積させるためのCVDプロセスの代替方法である。ALDは、低温化に向かう業界の潮流に適合性があり、前駆体使用効率が高く、かつ共形の薄膜層を生成することができる比較的低温で行うことができる。更に有利なことには、ALDは、原子スケールで膜厚を制御することができ、「ナノ工学」複合体薄膜に用いることができる。ALDプロセスサイクルでは、各反応ガスをガス相の混合が起こらないように、例えばシャワーヘッドを通して別個に反応室内に導入される。単層の第1反応物は、基材表面上に物理吸着又は化学吸着される。過剰な第1反応物は、不活性パージガスを用いて反応室内から排出するのが好ましい。次いで第2の反応物を反応室内に導入して第1反応物と反応させ、自己限定性の表面反応によって所望の薄膜の単層を形成する。最初に吸着された第1反応物が完全に第2反応物と反応すると自己限定性の反応が止まる。過剰な第2反応物は、不活性パージガスを用いて排出されるのが好ましい。所望の膜厚は、必要に応じて堆積サイクルを繰り返すことによって得られる。膜厚は、堆積サイクルの数を単にカウントすることによって原子層精度にまで制御することができる。
多くのCVD又はALD用途において、ガス供給装置内の排出孔の単純な基本幾何形状では、必要な堆積厚さの仕様が達成されない。排出孔又は「ピッチ」の一定のアレイは、必ずしもウェーハ上に均一な堆積をもたらさないことが実証された。従って、所望の端部効果をもたらすために、排出孔のパターン又は寸法を変更するのが望ましい。1つの従来技術の手法は、所望の堆積パターンを達成するために排出口の位置及び/又は寸法を変えることである。しかしながらこの手法では、排出口の構成によって影響を受ける可能性がある1つより多い特定のプロセス又は製法のため同じ装置を用いる際などのように、更に変更が必要な場合に調整することができないので、ある程度の成果しか得られなかった。従って、CVD及びALDで有用なガス供給装置の更なる開発が必要とされる。
米国特許仮出願第60/475,079号公報 米国特許第6,415,736号公報 米国特許第6,410,089号公報 米国特許第6,284,673号公報 米国特許第6,050,506号公報 米国特許第6,184,986号公報 米国特許第6,126,753号公報
半導体製造に有用な調節可能ガス供給装置が提供される。本発明の調節可能ガス供給装置は、ガス供給装置から排出されるガスの量及び/又は方向を変えることが可能であり、従って所望の最終応答を達成するために装置の構成を選択的に調整することができる。
1つの態様では、本発明は、複数の排出口と、該排出口の少なくとも1つの中に配置された少なくとも1つの交換可能な挿入体とを含む、ガス供給装置を提供する。挿入体は、排出口の寸法及び/又は該排出口の少なくとも1つから排出されるガスの方向を変えるように適合された通路を備える。挿入体は、ネジ止めによって排出口内に配置することができる。或いは挿入体は、圧入によって排出口内に配置することができる。
1つの実施形態では、挿入体は、実質的に直線状で且つ円筒形の通路を備えている。通路は、より小さい口径の第1の部分とより大きい口径の第2の部分とを有して、ガス供給装置内の排出口通路の寸法を選択的に変えることができる。
別の実施形態では、挿入体は、主通路と、該主通路から分岐し且つ傾斜された複数の二次通路とを備える。主通路と二次通路との間の角度は、約10度〜約90度の範囲内にある。1つの実施形態では、主通路と二次通路との間の角度は約90度である。
別の態様では、本発明は、プロセス領域に面する表面を有する単体構造本体と、該単体構造本体の内部に形成され、ガスをプロセス領域内に供給するために表面を通って延びる複数の排出口通路とを備えたガス供給装置を提供する。少なくとも1つの交換可能な挿入体が、排出口通路の少なくとも1つに配置され、該排出口通路の少なくとも1つの寸法及び/又は排出口通路の少なくとも1つからプロセス領域内に排出されるガスの方向を変えることが可能となる。
本発明の他の目的及び利点は、以下に提示された本発明の詳細な説明を読み、添付図面を参照すると明らかになる。
半導体製造に有用な調節可能ガス供給装置が提供される。一般に、本発明の調節可能ガス供給装置は、複数のガス排出口と該排出口の1つ又はそれ以上に取付けられた1つ又はそれ以上の挿入体とを備え、これらにより、排出口の寸法及び/又はガス供給装置から出るガスの方向を変更し、従って特定のプロセス要件に対してガス流速及びパターンを選択的に調整することが可能となる。
同じ構成部品が同じ参照符号で示される各図面を参照して、本発明の調節可能ガス供給装置をより詳細に説明する。
図1は、本発明の1つ又はそれ以上の挿入体を用いることができるシャワーヘッド10を示す。図1に示したシャワーヘッド10は、例証の目的に過ぎず、本発明の技術的範囲をどのようにも制限するものではない点に留意されたい。以下で説明される挿入体は、装置から排出されるガスの流速及び/又は方向の調整が要求される場合のどのような他のガス配給装置において用いることができる。一般的にシャワーヘッドでは、複数のチャネル、通路、又は孔が生成され、部材内に内部ガス供給網が形成される。部材は、内部ガス供給網が形成される単一の単体ブロックとすることができる。部材はまた、その各々の内部に複数のチャネル、通路又は孔が形成される幾つかの個々のブロックから構成することができる。次に、これらの個々のブロックは、例えば複数のボルトによって一体として連結されかつ組立てられる。チャネル、通路又は孔は、穿孔、リーミング及び放電加工などのあらゆる適切な機械工法により形成することができる。
具体的には図1は、シャワーヘッド10の外観図を示す。図2は、シャワーヘッド10内部に形成された内部ガス供給網12を示す。複数の水平チャネル14は、単体構造円筒形部材16内に形成される。単体構造部材16は、アルミニウム、鋼鉄、又はニッケルベースの合金ブロック、もしくは他の何らかの適切な材料から構成することができる。これらの水平チャネル14は、合流点18に集まり、円筒形部材16の外周表面20に放射状外方に延びる。外周表面20は閉鎖される。水平チャネル14は、外周表面20から穿孔によって形成することができる。外周表面上の不必要な開口は、例えば、チャネル14が形成された後シールプラグによって閉鎖される。垂直な吸入口通路22が形成され合流点18と連結される。吸入口通路18は、単体構造部材16の上表面24の上方へ貫通して延びて、ガス源(図示せず)に連結される。ガスは、吸入口通路22を通って導入され、合流点18を介して水平チャネル14内に供給される。
複数の排出口通路又は排出孔26は、水平チャネル14の経路に沿って形成される。これら排出孔26は、単体構造部材16の底面28の下方へ貫通して延びる。明確且つ簡単にするために、一部の排出孔のみが図1及び図2に示されている。多数の水平チャネルと該水平チャネルに沿った排出口通路又は排出孔とを形成することができる点に留意されたい。排出孔の様々なパターン及び構成は、工程仕様書に従って設計することができる。排出孔の口径は、シャワーヘッドの底面全体を通して均一とすることができる。或いは、排出孔の口径は異なるものであってもよい。例えば、排出孔の口径は、背圧を均一にするためにシャワーヘッド底面の内方領域をより大きくし、外方領域をより小さくすることができる。シャワーヘッドの排出貫通孔のいずれか又は全ては、排出口寸法又は口径及び/又はシャワーヘッドから排出されるガスの方向の変更を可能にするために、以下に説明する挿入体を含むことができる。
挿入体は、図1及図2に示すように、例えばシャワーヘッド上に取付けて、シャワーヘッド内部の内部ガス供給網から処理チャンバ又は領域に至る、既知の口径通路を形成するように設計される。挿入体は、小さな精密機械加工部品とすることができる。該挿入体は、シャワーヘッド本体と同じ材料で作ることができる。或いは、挿入体は、高温で用いる時に挿入体とシャワーヘッド本体との間のシールを改善するために、異なる熱膨張係数などの望ましい物理的特性の差異を生かして異なる材料で作られる。挿入体は、穿孔、リーミング及び放電加工、及び同様のものなどの、あらゆる適切な機械工法による通路を備えている。
図3〜図6は、本発明の1つの実施形態によるスクリュー型挿入体30を示している。挿入体30は、該挿入体30を排出口通路26と係合するためのネジ山32を備え、この実施形態では、排出口通路もまた該挿入体30を受けるためのネジ山を備えている。取付けは、上流側すなわち排出口通路26からのガスが挿入体30内の精密通路を通る以外に経路が確実に見つからないように該挿入体が十分に着座するまで、挿入体30をシャワーヘッド排出口26内にネジ止めすることによって完了する。円筒形通路34は、挿入体30内に形成され、該挿入体30の底面36を貫通して延びる。取付けられると、挿入体通路34は、排出口通路26と同軸で且つ流体連通する。内部供給網12からのガスは、挿入体30を介してシャワーヘッド10から該シャワーヘッド10の底面28に対して垂直方向に排出される。挿入体通路34の口径は、特定のプロセス要件に対してガス流速を合わせるように変えることができる。例えば、挿入体通路は、ガス供給チャネル14に対して近位にある小口径の第1の部分38と、供給チャネル14に対し遠位にある大口径の第2の部分39とを有することができる。第1の部分38内の小さい方の口径は、均一な背圧を生成するための計測機能を可能にする。第2の部分39内の大きい方の口径により、ガス流の「ジェット」効果の低減を可能にし、挿入体30の取付け及び取り外しを容易にする。
図7〜図10は、本発明の別の実施形態による圧入型挿入体40を示す。圧入取付けは、ねじ結合の必要を軽減する。主通路42は、挿入体40内に形成され、複数の二次通路44に分岐される。この実施形態では、4つの分岐通路44が形成されている。分岐通路44は、挿入体40の側面46を貫通して延びている。分岐通路44の各々は、主通路42から約10度〜89度の範囲内で傾斜している。挿入体40を取付けた後には、挿入体主通路42は排出口通路26と同軸にある。挿入体40は、該挿入体40側面46上の分岐通路42の開口がシャワーヘッド10の底面28の平面外に位置決めされてガスを加工領域内へ導くようにシャワーヘッド10底面28から外に延びている。この実施形態では、ガスは、分岐通路44からシャワーヘッド10の底面28又はプロセス領域内の基材に対して非垂直方向に、例えば底面28に対して約10度〜約89度の角度で排出される。このフローパターンは、「スポット」、すなわち排出口の間隔に一致する堆積厚さが変わる従来技術の問題を最小限に抑える。流れ方向を変更することにより、プロセス領域に入るガス流の下向きのモーメントが低減し、結果として、ガスがプロセス領域内により均一に供給される。従って、ガス流れ方向又はフローパターンは、分岐通路44と主通路42との間の角度を選択的に選ぶことによって変えることができる。
図11〜図14は、本発明の1つの実施形態による別の圧入型挿入体50を示す。図7〜図10に示した挿入体40と比較して、図11〜図14に示した挿入体50は、1つの主通路52と、90度で主通路52と交差する4つの分岐通路54とを有する。挿入体50が取付けられると、供給チャネル14からのガスは、分岐通路54の開口からシャワーヘッド10の底面28に対して平行な方向に排出される。
図15〜図20は、シャワーヘッド10内に排出口26との本発明の圧入型挿入体60の係合を詳細に示す。係合領域62では、挿入体60の外径(D2)は、非係合領域64の外径(D1)よりも僅かに大きい。試験又は試用段階において、予挿入体は、挿入体の取り付け及び取り外しを容易にするために、係合領域のセクションが非係合領域内のセクションよりも実質的に短い(L2<L1)ような寸法にすることができる。ネジ山70を予挿入体内に設けて、挿入体60の取り付け及び取り外しを容易にすることができる。望ましい挿入体通路の寸法が決定すると、予挿入体を取り外して、図19及び図20に示すように、より大きな係合セクションを有する最終挿入体72と取り替える。最終挿入体72では、係合セクションは非係合セクションよりも実質的に長い(L2>L1)。挿入体と排出口との間の大きな係合セクションは、内部間隙すなわちポケットの容積を最小限に抑え、挿入体とシャワーヘッドとの間のシールの強化を補償する。
図21〜図24は、本発明の1つの実施形態による、別のスクリュー型挿入体80を示す。挿入体80は、六角形セクション82と円筒形セクション84とを有する。円筒形セクション84は、シャワーヘッド10の排出口26と挿入体80を係合するためのネジ山86を備え、シャワーヘッドもまた、挿入体80を受けるためのネジ山を備えている。主通路88は、円筒形セクション84内に形成され、六角形セクション82内に延びている。主通路88は、六角形セクション82内で放射状及び外方に延びる複数の水平通路90に分岐している。水平通路90は、六角形セクション82の側面92を貫通して延びて、ガスをプロセス領域に導く。六角形構造もまた、挿入体80を取り付け及び取り外すための工具係合手段を提供する。挿入体80が取付けられると、該挿入体の六角形セクション82は、シャワーヘッド10の底面28の外に延びる。挿入体80の主通路88は、シャワーヘッド10内の排出口通路26と同軸にある。ガスは主通路88を通って流れ、図24の矢印で示すように流れ方向をシャワーヘッド10の底面28に対して垂直から水平に変える。
有利には、本発明の挿入体は、どのような選択された排出口内にも配置され、大きさ及び/又は装置から排出されるガスの方向を変えることができる。プロセスの結果は、対応する挿入体を変更するためのフィードバックとして用いて、望ましい最終応答を達成することができる。その結果として得られた構成は、現状のまま用いてもよく、或いは最小のプロセス変動要素が要求される製造環境で好ましいものとなる、固定すなわち調節不能なタイプのシャワーヘッドを複製する設計基準を形成するのに用いることができる。
上述のように、調節可能ガス供給装置が本発明によって提供された。本発明の特定の実施形態の上述の説明は、例証及び説明の目的で提示された。これらは、網羅的なものではなく、本発明を開示された精密な形態に制限するものでもなく、上述の教示に照らして明らかに多くの修正形態、実施形態、及び変形形態が可能である。本発明の技術的範囲は本明細書に添付された請求項及びこれらの均等物によって定義されるものとする。
本発明の1つ又はそれ以上の交換可能な挿入体を取付けることができる複数の排出貫通孔を示すシャワーヘッドの外観図である。 図1に示したシャワーヘッド内部の内部ガス供給網を示す図である。 本発明の1つの実施形態によるスクリュー型挿入体の正面図である。 本発明の1つの実施形態による、図3で示したスクリュー型挿入体の平面図である。 本発明の1つの実施形態による、図4で示したスクリュー型挿入体の線A−Aに沿った断面図である。 本発明の1つの実施形態による、図3〜図5で示した挿入体が取付けられたシャワーヘッドの部分断面図である。 本発明の1つの実施形態による、主通路から傾斜した複数の分枝通路を有する圧入型挿入体の正面図である。 本発明の1つの実施形態による、図7で示した圧入型挿入体の平面図である。 本発明の1つの実施形態による、図8で示した圧入型挿入体の線B−Bに沿った断面図である。 本発明の1つの実施形態による、図7〜図9で示した圧入型挿入体が取付けられたシャワーヘッドの部分断面図である。 本発明の1つの実施形態による、主通路に対して直角の複数の分岐通路を有する圧入型挿入体の正面図である。 本発明の1つの実施形態による図11で示した圧入型挿入体の平面図である。 本発明の1つの実施形態による図12で示した圧入型挿入体の線C−Cに沿った断面図である。 本発明の1つの実施形態による図11〜図13で示した圧入型挿入体が取付けられたシャワーヘッドの部分断面図である。 本発明の1つの実施形態による圧入係合領域の詳細を示す圧入型挿入体の正面図である。 本発明の1つの実施形態による図15で示した圧入型挿入体の平面図である。 本発明の1つの実施形態による図16で示した圧入型挿入体の線D−Dに沿った断面図である。 本発明の1つの実施形態による図15〜図17で示した圧入型挿入体とシャワーヘッド内の排出口との間の係合の詳細を示すシャワーヘッドの部分断面図である。 本発明の1つの実施形態による圧入係合領域の詳細を示す圧入型挿入体の正面図である。 本発明の1つの実施形態による図19で示した圧入型挿入体の平面図である。 本発明の1つの実施形態による複数の通路を示すスクリュー型挿入体の正面図である。 本発明の1つの実施形態による図21で示したスクリュー型挿入体の平面図である。 本発明の1つの実施形態による図22で示したスクリュー型挿入体の線F−Fに沿った断面図である。 本発明の1つの実施形態による図21〜図23で示したスクリュー型挿入体を取付けたシャワーヘッドの部分断面図である。
符号の説明
10 シャワーヘッド
16 単体構造部材、円筒形構造部材
20 外周表面
24 上面
26 排出孔
28 底面

Claims (23)

  1. プロセス領域に面する表面を有する本体と、
    前記本体内部に形成され、前記プロセス領域内にガスを供給するために前記表面を通って延びる複数の排出口通路と、
    前記排出口通路の少なくとも1つに配置され、前記排出口通路の少なくとも1つの寸法及び/又は前記排出口通路の少なくとも1つから前記プロセス領域内に排出されるガスの方向を変更するように適合された通路を備えた少なくとも1つの交換可能な挿入体と、
    を備えることを特徴とするガス供給装置。
  2. 前記挿入体通路が、実質的に円筒形であることを特徴とする請求項1に記載の装置。
  3. 前記挿入体通路が、より小さい口径を有する第1の部分と、より大きい口径を有する第2の部分とを含むことを特徴とする請求項1に記載の装置。
  4. 前記挿入体通路が、該挿入体と共に配置された前記排出口の通路と同軸であることを特徴とする請求項1に記載の装置。
  5. 前記挿入体通路が、該挿入体と共に配置された前記排出口の通路と同軸の第1の部分と、前記プロセス領域内にガスを導くために前記第1の部分から分岐し且つ傾斜された複数の第2の部分とを含むことを特徴とする請求項1に記載の装置。
  6. 前記挿入体通路の第2の部分が、前記第1の部分から約10度〜約90度の範囲で傾斜されていることを特徴とする請求項5に記載の装置。
  7. 前記挿入体通路の第2の部分が、前記第1の部分に対して直角であることを特徴とする請求項5に記載の装置。
  8. 前記挿入体通路の第2の部分が、単体構造本体の表面に対して平行であることを特徴とする請求項5に記載の装置。
  9. 前記排出口通路が、実質的に円筒形であることを特徴とする請求項1に記載の装置。
  10. 前記排出口通路が、より小さい口径を有する第1の部分と、より大きい口径を有する第2の部分とを含むことを特徴とする請求項9に記載の装置。
  11. 前記挿入体が、圧入によって前記排出口通路内に配置されることを特徴とする請求項1に記載の装置。
  12. 前記排出口通路及び挿入体が、ネジ山を備え、該挿入体がネジ止めによって前記排出口通路内に配置されることを特徴とする請求項1に記載の装置。
  13. 前記挿入体が、取付工具を係合する手段を含むことを特徴とする請求項12に記載の装置。
  14. 前記複数の排出口と、該排出口の少なくとも1つに配置され、前記排出口の寸法及び/又は前記排出口の少なくとも1つから排出されるガスの方向を変えるように適合された通路を備えた少なくとも1つの交換可能な挿入体とを含むガス供給装置。
  15. 前記挿入体通路が、実質的に円筒形であることを特徴とする請求項14に記載の装置。
  16. 前記挿入体通路が、該挿入体と共に配置された前記排出口と同軸であることを特徴とする請求項14に記載の装置。
  17. 前記挿入体通路が、より小さい口径を有する第1の部分と、より大きい口径を有する第2の部分とを含むことを特徴とする請求項15に記載の装置。
  18. 前記挿入体通路が、該挿入体と共に設置された排出口と同軸の第1の部分と、前記第1の部分から分岐し且つ傾斜された複数の第2の部分とを含むことを特徴とする請求項14に記載の装置。
  19. 前記挿入体通路の第2の部分が、前記第1の部分から約10度〜約90度の範囲で傾斜されていることを特徴とする請求項18に記載の装置。
  20. 前記挿入体通路の第2の部分が、前記第1の部分に対して直角であることを特徴とする請求項18に記載の装置。
  21. 前記挿入体が、圧入によって前記排出口内に配置されていることを特徴とする請求項14に記載の装置。
  22. 前記排出口及び挿入体が、ネジ山を備え、該挿入体がネジ切りによって前記排出口内に配置されていることを特徴とする請求項14に記載の装置。
  23. 前記挿入体が、取付工具を係合する手段を含むことを特徴とする請求項22に記載の装置。
JP2006515011A 2003-05-30 2004-05-27 調節可能ガス供給システム Pending JP2006526900A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47507903P 2003-05-30 2003-05-30
PCT/US2004/016949 WO2004112092A2 (en) 2003-05-30 2004-05-27 Adjustable gas distribution system

Publications (1)

Publication Number Publication Date
JP2006526900A true JP2006526900A (ja) 2006-11-24

Family

ID=33511648

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006514995A Abandoned JP2007525822A (ja) 2003-05-30 2004-05-26 ガス分配システム
JP2006515011A Pending JP2006526900A (ja) 2003-05-30 2004-05-27 調節可能ガス供給システム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006514995A Abandoned JP2007525822A (ja) 2003-05-30 2004-05-26 ガス分配システム

Country Status (7)

Country Link
US (3) US6921437B1 (ja)
EP (2) EP1629522A4 (ja)
JP (2) JP2007525822A (ja)
KR (2) KR20060011887A (ja)
CN (2) CN101068950A (ja)
TW (2) TW200507023A (ja)
WO (2) WO2004109761A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006289359A (ja) * 2005-04-01 2006-10-26 Jusung Engineering Co Ltd ガス噴射装置
JP2010062382A (ja) * 2008-09-04 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
JP2010126810A (ja) * 2008-11-26 2010-06-10 Ind Technol Res Inst 気体噴射モジュール
JP2013145873A (ja) * 2011-12-15 2013-07-25 Nuflare Technology Inc 成膜装置および成膜方法
JP2015181152A (ja) * 2014-02-27 2015-10-15 ラム リサーチ コーポレーションLam Research Corporation ウエハ均一性を改善するための装置および方法

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
WO2005059974A1 (en) * 2003-12-15 2005-06-30 Applied Materials, Inc. Edge flow faceplate for improvement of cvd film properties
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
DE102005004312A1 (de) * 2005-01-31 2006-08-03 Aixtron Ag Gasverteiler mit in Ebenen angeordneten Vorkammern
KR100600051B1 (ko) * 2005-02-22 2006-07-13 주식회사 하이닉스반도체 원자층 증착 장비 및 그를 이용한 3원계 박막 형성 방법
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
JP4993610B2 (ja) * 2005-11-08 2012-08-08 国立大学法人東北大学 シャワープレート及びシャワープレートを用いたプラズマ処理装置
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
WO2008088743A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems
US8100082B2 (en) 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
KR100857807B1 (ko) * 2007-06-21 2008-09-09 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US20090081360A1 (en) 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7744720B2 (en) * 2007-12-06 2010-06-29 Tokyo Electron Limited Suppressor of hollow cathode discharge in a shower head fluid distribution system
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
WO2009125477A1 (ja) * 2008-04-08 2009-10-15 株式会社島津製作所 プラズマcvd用のカソード電極、およびプラズマcvd装置
US20100018463A1 (en) * 2008-07-24 2010-01-28 Chen-Hua Yu Plural Gas Distribution System
KR101832478B1 (ko) * 2008-10-24 2018-02-26 어플라이드 머티어리얼스, 인코포레이티드 다중 가스 공급 장치 및 방법
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
KR20120023040A (ko) * 2009-04-29 2012-03-12 어플라이드 머티어리얼스, 인코포레이티드 HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
JP5570528B2 (ja) * 2009-11-20 2014-08-13 京セラ株式会社 堆積膜形成装置
TWI372081B (en) * 2010-02-02 2012-09-11 Hermes Epitek Corp Showerhead
CN102763199B (zh) * 2010-02-12 2016-01-20 应用材料公司 处理腔室之气流改良
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
KR101058747B1 (ko) 2011-01-07 2011-08-24 주성엔지니어링(주) 가스분사장치
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR20130115849A (ko) * 2012-04-13 2013-10-22 삼성전자주식회사 반도체 소자 제조 설비
JP6123208B2 (ja) * 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
DE102013101534A1 (de) 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
CN105441904B (zh) * 2014-06-18 2018-06-26 中微半导体设备(上海)有限公司 气体喷淋装置、化学气相沉积装置和方法
CN105331952B (zh) * 2014-07-23 2019-04-23 北京北方华创微电子装备有限公司 进气装置以及半导体加工设备
CN105331953B (zh) * 2014-07-23 2019-04-23 北京北方华创微电子装备有限公司 进气装置以及半导体加工设备
FR3029939A1 (fr) * 2014-12-16 2016-06-17 Saint-Gobain Lumilog Reacteur de depot chimique en phase vapeur
JP2016169402A (ja) * 2015-03-11 2016-09-23 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
JP6868616B2 (ja) 2015-10-08 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 背面でのプラズマ点火が低減されたシャワーヘッド
CN105349967B (zh) * 2015-12-09 2018-02-27 北京北方华创微电子装备有限公司 一种应用于薄膜沉积技术的气体分配器
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
CN106191816B (zh) * 2016-07-06 2019-04-05 廊坊西波尔钻石技术有限公司 一种热丝化学气相沉积炉进出气气路装置及方法
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11944988B2 (en) * 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
CN113366145A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 具有可调式气体出口的喷头
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
SE544378C2 (sv) * 2020-07-13 2022-04-26 Epiluvac Ab Anordning och förfarande för att åstadkomma homogen tillväxt och dopning hos halvledarwafer med diameter större än 100 mm
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
CN117248193A (zh) * 2023-11-16 2023-12-19 江苏微导纳米科技股份有限公司 镀膜腔室以及镀膜设备

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627046A (en) * 1969-11-10 1971-12-14 Lynes Inc Method and apparatus for positioning and gravel packing a production screen in a well bore
US3884301A (en) * 1973-11-23 1975-05-20 Texaco Trinidad Method of gravel-packing a high-pressure well
US4522264A (en) * 1983-09-02 1985-06-11 Otis Engineering Corporation Apparatus and method for treating wells
GB8710685D0 (en) * 1987-05-06 1987-06-10 Turbotak Inc Cluster nozzles
US4904621A (en) * 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4846402A (en) * 1988-02-03 1989-07-11 Wheelabrator Air Pollution Control, Inc. Spray nozzle and method of preventing solids build-up thereon
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5042708A (en) * 1990-09-24 1991-08-27 International Business Machines Corporation Solder placement nozzle assembly
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
TW296534B (ja) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
JP3172537B2 (ja) * 1994-03-29 2001-06-04 カール−ツァイス−スティフツング 湾曲した基材のコーティング用pcvd法及び装置
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
JPH09149921A (ja) * 1995-09-26 1997-06-10 Shimadzu Corp 救護支援装置
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5568406A (en) * 1995-12-01 1996-10-22 Gerber; Eliot S. Stolen car detection system and method
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5938333A (en) * 1996-10-04 1999-08-17 Amalgamated Research, Inc. Fractal cascade as an alternative to inter-fluid turbulence
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JP3702068B2 (ja) * 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
USRE39969E1 (en) * 1997-04-11 2008-01-01 Tokyo Electron Limited Processing system
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5983333A (en) * 1997-08-27 1999-11-09 Lucent Technologies Inc. High speed module address generator
TW432578B (en) * 1997-09-18 2001-05-01 Tokyo Electron Ltd A vacuum processing apparatus
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US5955952A (en) * 1997-10-24 1999-09-21 Sunset Advertising Enterprises, Inc. Method and system for locating a lost person or lost personal property
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US5983238A (en) * 1997-12-26 1999-11-09 Diamond Id Gemstons identification tracking and recovery system
DE19802572A1 (de) * 1998-01-23 1999-08-05 Siemens Health Service Gmbh & Medizinische Systemarchitektur
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6033921A (en) * 1998-04-06 2000-03-07 Advanced Micro Devices, Inc. Method for depositing a material of controlled, variable thickness across a surface for planarization of that surface
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6034605A (en) * 1998-12-08 2000-03-07 March; Anthony W. System/method for secure storage of personal information and for broadcast of the personal information at a time of emergency
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6333019B1 (en) * 1999-04-29 2001-12-25 Marc-Olivier Coppens Method for operating a chemical and/or physical process by means of a hierarchical fluid injection system
KR100416308B1 (ko) * 1999-05-26 2004-01-31 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6449611B1 (en) * 1999-09-30 2002-09-10 Fred Frankel Business model for recovery of missing goods, persons, or fugitive or disbursements of unclaimed goods using the internet
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
JP2001167054A (ja) * 1999-12-09 2001-06-22 Casio Comput Co Ltd 携帯情報機器、認証装置及び認証システム
WO2001042930A1 (en) * 1999-12-09 2001-06-14 Zephyr Media, Inc. System and method for integration of a universally publicly accessible global network
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
CA2419548A1 (en) * 2000-08-14 2002-02-21 Adbeep.Com, Llc Method and apparatus for displaying advertising indicia on a wireless device
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
JP4756200B2 (ja) * 2000-09-04 2011-08-24 Dowaメタルテック株式会社 金属セラミックス回路基板
US20020039067A1 (en) * 2000-10-03 2002-04-04 Timothy Eubanks Personnel location system
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP3500359B2 (ja) * 2001-01-30 2004-02-23 東京エレクトロン株式会社 熱処理装置および熱処理方法ならびに基板処理装置および基板処理方法
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006289359A (ja) * 2005-04-01 2006-10-26 Jusung Engineering Co Ltd ガス噴射装置
JP2010062382A (ja) * 2008-09-04 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
JP2010126810A (ja) * 2008-11-26 2010-06-10 Ind Technol Res Inst 気体噴射モジュール
JP2013145873A (ja) * 2011-12-15 2013-07-25 Nuflare Technology Inc 成膜装置および成膜方法
JP2015181152A (ja) * 2014-02-27 2015-10-15 ラム リサーチ コーポレーションLam Research Corporation ウエハ均一性を改善するための装置および方法

Also Published As

Publication number Publication date
US6921437B1 (en) 2005-07-26
WO2004109761A3 (en) 2006-12-14
KR20060011887A (ko) 2006-02-03
US20050109460A1 (en) 2005-05-26
WO2004109761A2 (en) 2004-12-16
EP1629522A2 (en) 2006-03-01
US20050217580A1 (en) 2005-10-06
EP1629522A4 (en) 2008-07-23
TW200510564A (en) 2005-03-16
TW200507023A (en) 2005-02-16
WO2004112092A2 (en) 2004-12-23
CN101068950A (zh) 2007-11-07
KR20060003909A (ko) 2006-01-11
WO2004112092A3 (en) 2005-09-15
JP2007525822A (ja) 2007-09-06
CN1830069A (zh) 2006-09-06
EP1629527A2 (en) 2006-03-01

Similar Documents

Publication Publication Date Title
JP2006526900A (ja) 調節可能ガス供給システム
KR102156390B1 (ko) 반도체 처리를 위한 가스 분배 샤워헤드
JP7232864B2 (ja) 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム
US7361228B2 (en) Showerheads for providing a gas to a substrate and apparatus
US10745806B2 (en) Showerhead with air-gapped plenums and overhead isolation gas distributor
JP3621393B2 (ja) ガス送出計量チューブ
US20080176412A1 (en) Atomic layer deposition system including a plurality of exhaust tubes
TWI612174B (zh) 化學氣相沉積設備、設備、以及化學氣相沉積之方法
JP2011500961A (ja) 化学気相成長反応器
JP2004235660A (ja) 単一ボデー噴射器及び蒸着室
JP2007277723A (ja) 反応炉に均一な気体運搬を行う方法および装置
WO2014198134A1 (zh) 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
US11118262B2 (en) Substrate processing apparatus having a gas-mixing manifold
KR20090031338A (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
KR20160024637A (ko) 증착 장치
CN112359344A (zh) 半导体工艺设备及其进气机构
JP2008043946A (ja) ガス供給装置用シャワーヘッド
CN112323043A (zh) 一种气体分配器以及原子层沉积反应设备
CN109518166B (zh) 一种适用于超大规模原子层沉积的气体匀流系统
JP2011114081A (ja) 気相成長装置
JP7425462B2 (ja) 流体制御装置および半導体製造装置
KR100700448B1 (ko) 삼중 샤워헤드 및 이를 구비한 원자층 증착장치
JPH07118469B2 (ja) プラズマcvd装置
JP3123536B2 (ja) 半導体製造装置
KR20100033991A (ko) 샤워헤드를 구비한 반도체 소자 제조 장비