CN1830069A - 可调节气体分配系统 - Google Patents

可调节气体分配系统 Download PDF

Info

Publication number
CN1830069A
CN1830069A CNA2004800202455A CN200480020245A CN1830069A CN 1830069 A CN1830069 A CN 1830069A CN A2004800202455 A CNA2004800202455 A CN A2004800202455A CN 200480020245 A CN200480020245 A CN 200480020245A CN 1830069 A CN1830069 A CN 1830069A
Authority
CN
China
Prior art keywords
insert
passage
gas
outlet
exit passageway
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800202455A
Other languages
English (en)
Inventor
杰伊·B·德唐特尼
杰克·C·尧
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML US Inc
Aviza Technology Inc
Original Assignee
ASML US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML US Inc filed Critical ASML US Inc
Publication of CN1830069A publication Critical patent/CN1830069A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Abstract

本发明提供一种气体分配装置(10),它包括多个出口(26)和至少一个放置在至少一个出口中的可更换插入物(30)。该插入物带有一个通道(34),可以改变该至少一个出口的尺寸和/或从该至少一个出口排出的气体的方向。该插入物带有可以基本上为直的和圆柱形的通道。该通道可以具有直径较小的第一部分,和直径较大的第二部分,以便可以有选择地改变该气体分配装置中的出口通道的尺寸。另一种方案是,该插入物带有主要通道和多个从该主要通道分支出来和与该主要通道成一定角度的辅助通道。该主要通道和分支通道之间的角度大约为10度至90度。

Description

可调节气体分配系统
相关申请的交叉参考
本申请要求于2003年5月30日提出的美国临时申请60/475079的优先权。这里全部引入该申请的说明以供参考。
技术领域
本发明一般涉及半导体设备和处理领域,更具体地说,本发明涉及一种在半导体制造中有用的可调节气体分配装置。
背景技术
在半导体和集成电路的制造中,广泛使用晶片处理反应器系统和方法。作为制造半导体和集成电路的一个步骤,晶片处理系统的一个具体形式使用化学蒸气沉积(CVD),在一个基片的表面上沉积薄膜或层。如在现有技术中所述,在半导体器件制造中的化学蒸气沉积中(或希望反应物以一定的几何学表现形式沉积或形成的其他化学反应器),经常需要改进简单的基本设计的气体分散系统,对它进行一些达到所希望的最终结果的改变。在美国专利6415736,6410089,6284673,6050506,6184986和6126753中公开了这种系统的例子。
为了沉积非常薄的薄膜,可利用原子层沉积(ALD)替换CVD处理。ALD可以在可与工业上趋向低温的趋势相适应的较低温度下进行,具有高的前驱利用效率和可以生产相似的薄的薄膜层。更有利的是,ALD可在原子等级上控制薄膜的厚度,并可用于“纳米工程”的复杂的薄的薄膜。在一个ALD处理循环中,每一种反应气体通过一个喷淋头独立地送入一个反应腔中,使得不产生气体相的互相混合。第一种反应物的一个单层利用物理方法或化学方法吸附在一个基片表面上。最好,利用惰性的清洗气体从该反应腔中排出多余的第一种反应物。然后,将第二种反应物送入该反应腔中,并且通过一种自行限制的表面反应,与第一种反应物反应,形成所希望的薄的薄膜的单层。当开始吸收的第一种反应物充分与第二种反应物反应后,该自行限制的反应停止。利用惰性清洗气体排出多余的第二种反应物。通过按需要重复沉积循环,可得到所希望的薄膜厚度。通过简单地计算沉积循环的数目,可将薄膜厚度控制至原子层的精度。
在许多CVD或ALD应用中,气体分配装置中的出口孔的简单的基片几何形状不能达到所需要的沉积厚度。已经显示,出口孔或“间距”的一个固定不变的组并不一定能在一个晶片上形成均匀的沉积。因此,希望改变,该出口孔的形状或尺寸,以达到所希望的最终作用。一种先前技术的方法是改变该出口的位置和/或尺寸,以达到所希望的沉积图形。然而,这种方法的成功是有限的,因为如果例如在对于可以由出口形状影响多于一个基体处理或处方而使用相同的装置中,需要进一步改变,则不能进行调节。因此,需要进一步开发在CVD和ALD中使用的气体分配装置。
发明内容
提供了一种在半导体制造中有用的可调节气体分配装置。本发明的可调节气体分配装置允许人们改变从该气体分配装置排出的气体的大小和/或方向,因而可以有选择地适应该装置的结构从而达到所希望的最终响应。
在一个方面,本发明提供一种气体分配装置,它包括多个出口和放置在至少其中一个出口中的至少一个可更换的插入物。该插入物带有适于改变从至少其中一个出口排出的气体的大小和/或方向的通道。该插入物可利用螺纹放置在该出口中。另一种方案是,该插入物可利用压配合放置在该出口中。
在一个实施例中,该插入物带有可以基本上为直和圆柱形的通道。该通道可以具有直径较小的第一部分和直径较大的第二部分,以便可以有选择地改变该气体分配装置中的出口通道的尺寸。
在另一个实施例中,该插入物带有一个主要通道和多个从该主要通道分支出来并与该主要通道成一定角度的辅助通道。该主要通道和分支通道之间的角度大约为10至90度。在一个实施例中,该主要和分支通道之间的角度大约为90度。
在另一个方面,本发明提供一种气体分配装置,它包括具有面向处理区域的表面和多个出口通道的整体主体。多个出口通道在所述主体内形成并延伸穿过该表面,用于将气体引入所述处理区域。至少一个可更换的插入物放置在至少其中一个出口通道中,从而允许改变该至少一个出口通道的尺寸和/或从该至少一个出口通道中排出进入处理区域的气体方向。
附图说明
本发明的其他目的和优点从下面参照附图对本发明的详细说明中可以清楚得知,其中:
图1是喷淋头的外部视图,其示出可以安装本发明的一个或多个可更换的插入物的多个出口通孔;
图2示出如图1所示的喷淋头内的内部气体分配网络;
图3是根据本发明一个实施例的螺钉式插入物的正视图;
图4是根据本发明一个实施例的如图3所示螺钉式插入物的俯视图;
图5为沿着根据本发明一个实施例的如图4所示的螺钉式插入物的A-A线的横截面图;
图6为根据本发明一个实施例的安装有图3至5所示插入物的喷淋头的部分横截面图;
图7为根据本发明一个实施例的具有多个与主要通路成一个角度的分支通路的压配合式插入物的正视图;
图8为根据本发明一个实施例的如图7所示的压配合式插入物的俯视图;
图9为根据本发明一个实施例的沿着图8所示的压配合式插入物的B-B线的横截面图;
图10为根据本发明一个实施例的安装有图7至9所示的压配合式插入物的喷淋头的部分横截面图;
图11为根据本发明一个实施例的具有与主要通路垂直的多个分支通路的压配合式插入物的正视图;
图12为根据本发明一个实施例的如图11所示的压配合式插入物的俯视图;
图13为根据本发明一个实施例的沿着图12所示的压配合式插入物的C-C线的横截面图;
图14为根据本发明一个实施例的安装有图11至13所示的压配合式插入物的喷淋头的部分横截面图;
图15为根据本发明一个实施例的示出压配合接合区域的细节的压配合式插入物的正视图;
图16为根据本发明一个实施例的如图15所示的压配合式插入物的俯视图;
图17为根据本发明一个实施例的沿着图16所示的压配合式插入物的D-D线的横截面图;
图18为根据本发明一个实施例的示出图15至17所示的压配合式插入物和喷淋头的出口之间的接合细节的喷淋头的部分横截面图;
图19为根据本发明一个实施例的示出压配合接合区域细节的压配合式插入物的正视图;
图20为根据本发明一个实施例的如图19所示的压配合式插入物的俯视图;
图21为根据本发明一个实施例的示出多个通路的螺钉式插入物的正视图;
图22为根据本发明一个实施例的如图21所示的螺钉式插入物的俯视图;
图23为根据本发明一个实施例的沿着图22所示的螺钉式插入物的F-F线的横截面图;
图24为根据本发明一个实施例的安装有图21至23所示的螺钉式插入物的喷淋头的部分横截面图。
具体实施方式
提供了一种在制造半导体中有用的可调节气体分配装置。一般来说,本发明的可调节气体分配装置包括多个气体出口和一个或多个安装在一个或多个出口中的插入物,该插入物可改变出口的尺寸和/或从该气体分配装置中出来的气体方向,从而可以有选择地使气体流动的速度和模式适合特定的处理要求。
参见附图,其中相同的零件用相同的附图标记表示,更详细地说明本发明的可调节气体分配装置。
图1表示使用一个或多个本发明的插入物的喷淋头10。应当指出,图1所示的喷淋头10只是为了说明,不是要限制本发明的范围。下述的插入物可以用在希望调节从装置中出来的气体的流动速度和/或方向的任何其他气体分配装置中。通常,在一个喷淋头中,在一个零件上作出多个通道,通路或孔,以形成一个内部气体分配网络。该零件可以为内部形成一个内部气体分配网络的一个整体块体。该零件也可以由几个单个的块体构成,在每个块体内作出多个通道,通路或孔。然后,利用多个螺钉,将这些单个块体连接和装配成一个单元。所述通道,通路或孔可以利用任何适当的加工方法,诸如钻、铰和放电加工等制成。
具体地是,图1示出喷淋头10的外部视图,图2表示在该喷淋头10内形成的一个内部气体分配网络12。在整体的圆柱形件16中形成多个水平通道14。该整体部件16由铝、钢或镍基合金块体或任何其他适合的材料构成。这些水平通道14汇聚在一个汇聚点18,并且沿径向并且向外伸出至该圆柱形件16的周边表面20。周边表面20是封闭的。该水平通道14可以利用钻削从该周边表面20形成。在作出通道14后,利用密封塞将该周边表面上不需要的孔封闭。形成一个垂直的入口通道22,并且该入口通道与汇聚点18连接。入口通道18向上延伸,并通过该整体件16的上表面24,并与一个气体源(没有示出)连接。气体通过该入口通道22送入,并通过该汇聚点18分配至该水平通道14中。
沿着该水平通道14的路径,形成多个出口通道或孔26。这些出口孔26向下延伸,并穿过该整体件16的底面28。为了清楚和简单起见,在图1和图2中只表示了几个出口孔。应当注意,沿着该水平通道可以作出许多水平通道和出口通道。根据处理规范,可以设计各种出口孔的结构和模式。在该喷淋头的整个底面上,该出口孔的直径可以是一致的。另一种方案是,该出口孔的直径可以是不同的。例如,该出口孔的直径在该喷淋头底面的内部区域可以较大而在其外部区域较小从而提供均匀的背压。该喷淋头中的任何或全部出口通孔可以包括一个下述的插入物,以便改变出口尺寸或直径和/或从该喷淋头出来的气体方向。
例如,该插入物设计成可安装在图1和图2所示的喷淋头中,以提供从该喷淋头内的内部气体分配网络引导至处理腔或区域的已知直径的通道。该插入件可以为一个小的精确加工的零件。该插入物可用与该喷淋头体相同的材料制成。另一种方案是,该插入物由不同的材料制成,以适应物理性质的不同,例如,热膨胀系数的不同,以改善在高温下使用时该插入物和喷淋头体之间的密封。该插入物提供有可用任何适当的加工方法,例如钻、铰、放电加工等形成的通道。
图3至6示出根据本发明一个实施例的螺钉式插入物30。该插入物30带有螺纹32可使该插入物30与一个出口通道26接合,在这个实施例中,该通道26也带有用于容纳该插入物30的螺纹。安装是通过将该插入物30拧入喷淋头出口26中,直至该插入物充分地安装好为止而完成的,这样可保证来自上游,即来自出口通道的气体除了通过在该插入物30中的精确通道以外没有出路。在该插入物30中形成一个圆柱形通道34并延伸穿过该插入物30的底面36。当安装时,该插入物通道34与出口通道26是同轴的并且与通道26流体连通。从内部分配网络12来的气体,通过插入物30,在与喷淋头10的底面28垂直的方向上,从喷淋头10排出。该插入物通道34的直径可以改变,以使气体流动速度适应特定的处理要求。例如,该插入物通道具有接近气体分配通道14的较小直径的第一部分38和远离该分配通道14的直径较大的第二部分39。该第一部分38的较小直径提供计量功能从而形成均匀的背压。第二部分39的较大直径可以降低气流的“射流”作用,便于该插入物30的安装和取出。
图7至10示出根据本发明另一个实施例的压配合式插入物40。压配合安装减少了对螺纹连接的需求。在插入物40中形成主要通道42,并分支成多个辅助通道44。在这个实施例中,形成4个分支通道44。分支通道44延伸穿过该插入物40的侧表面46。每一分支通道44与该主要通道42形成一个大约10度至89度范围内的角度。在安装该插入物40后,插入物的主要通道42与出口通道26是同轴的。该插入物40伸出该喷淋头10的底面28的外面,使在该插入物40的侧面46上的该分支通道42的开口位于喷淋头10的底面28的平面的外侧,以便将气体导入处理区域。在这个实施例中,气体在不与喷淋头10的底面28垂直或不与处理区域中的基片垂直的方向上,而是与该底面28成大约10度至89度的角度从该分支通道44排出。这种流动模式使现有技术的“定点”问题减小,或减小与出口间隔匹配的沉积厚度变化的问题。通过改变流动方向,可以减小进入处理区域的气流的向下动量,结果,气体可以更均匀地在处理区域中分配。因此,通过有选择地选择该分支通道44和该主要通道42之间的角度,可以改变气体的流动方向或流动模式。
图11~14表示根据本发明一个实施例的另一个压配合式插入物50。与图7~10所示的插入物40比较,图11~14所示的插入物50具有一个主要通道52和4个与该主要通道52成90度相交的分支通道54。当安装插入物50时,从分配通道14出来的气体在与喷淋头10的底面28平行的方向上,从该分支通道54的开口排出。
图15~20详细表示本发明的压配合式插入物60与喷淋头10中的出口26接合的情况。在接合区域62中,插入物60的外径(D2)比非接合区域64中的外径(D1)稍大一些。在测试或试验阶段,初始的插入物尺寸可作成使在接合区域中的截面基本上比在非接合区域中的截面短(L2<L1),以便容易安装和取下该插入物。为了便于安装和取下该插入物60,可以在该初始插入物上作出螺纹70。当确定了插入物所希望的通道尺寸后,可以取下该初始插入物,并用如图19和20所示的具有较大接合截面的最终插入物72代替。在该最终插入物72中,接合截面基本上比非接合截面长(L2>L1)。插入物和出口之间的大接合区域减小内部间隙或腔容积,并可保证该插入物和喷淋头之间的加强密封。
图21~24表示根据本发明一个实施例的另一种螺钉式插入物80。该插入物80具有六角形截面82和圆柱形截面84。该圆柱形截面84带有螺纹86,可使该插入物80与喷淋头10中的出口26接合,喷淋头也带有容纳该插入物80的螺纹。在该圆柱形截面84内形成一个主要通道88,并且伸入该六角形截面82中。在该六角形截面82中,该主要通道88分支成沿径向和向外伸出的多个水平通道90。该水平通道90穿过该六角形截面82的侧面92,将气体引导至处理区域中。六角形的形状还为安装和取出该插入物80提供一种工具接合装置。在安装该插入物80后,该插入物的六角形截面82伸出至喷淋头10的底面28的外面。该插入物80的主要通道88与喷淋头10中的出口通道26同轴。如图24的箭头所示,气体流过该主要通道88,并且将流动方向从相对于该喷淋头10的底面28垂直改变为水平。
优选地,本发明的插入物可以放置在任何选择的出口中以改变所述尺寸和/或从该装置排出的气体方向。可以利用处理结果作为反馈,改变相应的插入物,以达到所希望的最后响应。还可以利用所得到的结构提供设计标准,从而在希望处理变量最少的生产环境中可以复制是优选的固定、不可调节的喷淋头。
如上所述,本发明提供了一种可调节的气体分配装置。本发明的具体实施例的上述说明只是为了说明的目的。因此它们不是完全的,或将本发明就限制在所述的形式。显然,考虑到以上的说明,可以有许多改进,实施例和改变。本发明的范围由权利要求书及其等价文献确定。

Claims (23)

1.一种气体分配装置,它包括:
具有面向一个处理区域的表面的体;
在该体内形成,并延伸穿过该表面,将气体引入至该处理区域中的多个出口通道;和
至少一个可更换的插入物;该插入物放在至少其中一个出口通道中,所述插入物带有一个通道,适于改变至少其中一个出口通道的尺寸和/或从至少其中一个出口通道排出至处理区域中的气体方向。
2.如权利要求1所述的装置,其特征为,所述插入物通道基本上为圆柱形的。
3.如权利要求1所述的装置,其特征为,该插入物通道包括直径较小的第一部分和直径较大的第二部分。
4.如权利要求1所述的装置,其特征为,所述插入物通道与设置有该插入物的出口通道是同轴的。
5.如权利要求1所述的装置,其特征为,该插入物通道包括与设置有该插入物的出口通道共轴的第一部分,和与该第一部分分支并呈一定角度、用于将气体导入处理区域的多个第二部分。
6.如权利要求5所述的装置,其特征为,该插入物通道的所述第二部分与该第一部分呈大约10度至大约90度的角度。
7.如权利要求5所述的装置,其特征为,该插入物通道的所述第二部分与该第一部分垂直。
8.如权利要求5所述的装置,其特征为,该插入物通道的所述第二部分与所述整体主体的表面平行。
9.如权利要求1所述的装置,其特征为,所述出口通道基本上为圆柱形的。
10.如权利要求9所述的装置,其特征为,所述出口通道包括直径较小的第一部分和直径较大的第二部分。
11.如权利要求1所述的装置,其特征为,该插入物通过压配合放入该出口通道中。
12.如权利要求1所述的装置,其特征为,该出口通道和插入物带有螺纹,并且该插入物利用螺纹放入该出口通道中。
13.如权利要求12所述的装置,其特征为,该插入物包括与安装工具接合的装置。
14.一种气体分配装置,它包括多个出口和放置在至少其中一个出口中的至少一个可更换插入物,所述插入物带有一个通道,可以改变其尺寸和/或从至少其中一个出口中排出的气体方向。
15.如权利要求14所述的装置,其特征为,该插入物通道基本上为圆柱形。
16.如权利要求14所述的装置,其特征为,该插入物通道与设置有该插入物的出口同轴。
17.如权利要求15所述的装置,其特征为,该插入物通道包括直径较小的第一部分和直径较大的第二部分。
18.如权利要求14所述的装置,其特征为,该插入物通道包括与设置有该插入物的出口共轴的第一部分,和与该第一部分分支并呈一定角度的多个第二部分。
19.如权利要求18所述的装置,其特征为,该插入物通道的所述第二部分与该第一部分成大约10度至大约90度的角度。
20.如权利要求18所述的装置,其特征为,该插入物通道的所述第二部分与该第一部分垂直。
21.如权利要求14所述的装置,其特征为,该插入物通过压配合放入该出口中。
22.如权利要求14所述的装置,其特征为,该出口和入口带有螺纹,并且该插入物利用螺纹放置在该出口中。
23.如权利要求22所述的装置,其特征为,该插入物设置有和安装工具接合的装置。
CNA2004800202455A 2003-05-30 2004-05-27 可调节气体分配系统 Pending CN1830069A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47507903P 2003-05-30 2003-05-30
US60/475,079 2003-05-30

Publications (1)

Publication Number Publication Date
CN1830069A true CN1830069A (zh) 2006-09-06

Family

ID=33511648

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2004800172905A Pending CN101068950A (zh) 2003-05-30 2004-05-26 气体分配系统
CNA2004800202455A Pending CN1830069A (zh) 2003-05-30 2004-05-27 可调节气体分配系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2004800172905A Pending CN101068950A (zh) 2003-05-30 2004-05-26 气体分配系统

Country Status (7)

Country Link
US (3) US6921437B1 (zh)
EP (2) EP1629522A4 (zh)
JP (2) JP2007525822A (zh)
KR (2) KR20060011887A (zh)
CN (2) CN101068950A (zh)
TW (2) TW200510564A (zh)
WO (2) WO2004109761A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101368266B (zh) * 2007-06-21 2011-10-05 Sfa股份有限公司 用于平面显示器的化学气相沉积装置
CN102668032A (zh) * 2009-11-20 2012-09-12 京瓷株式会社 沉积膜形成装置
CN105331953A (zh) * 2014-07-23 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置以及半导体加工设备
CN105331952A (zh) * 2014-07-23 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置以及半导体加工设备
CN105349967A (zh) * 2015-12-09 2016-02-24 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
CN105441904A (zh) * 2014-06-18 2016-03-30 中微半导体设备(上海)有限公司 气体喷淋装置、化学气相沉积装置和方法
CN107527782A (zh) * 2016-06-17 2017-12-29 三星电子株式会社 等离子体处理装置
CN113366145A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 具有可调式气体出口的喷头
CN117248193A (zh) * 2023-11-16 2023-12-19 江苏微导纳米科技股份有限公司 镀膜腔室以及镀膜设备

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
WO2004109761A2 (en) * 2003-05-30 2004-12-16 Aviza Technology Inc. Gas distribution system
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
TW200526800A (en) * 2003-12-15 2005-08-16 Applied Materials Inc Edge flow faceplate for improvement of CVD film properties
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
DE102005004312A1 (de) * 2005-01-31 2006-08-03 Aixtron Ag Gasverteiler mit in Ebenen angeordneten Vorkammern
KR100600051B1 (ko) * 2005-02-22 2006-07-13 주식회사 하이닉스반도체 원자층 증착 장비 및 그를 이용한 3원계 박막 형성 방법
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
KR101153161B1 (ko) 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
CN101305451B (zh) * 2005-11-08 2012-07-04 国立大学法人东北大学 簇射极板及采用该簇射极板的等离子体处理装置
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
CN102127752B (zh) * 2007-01-12 2014-06-25 威科仪器有限公司 气体处理系统
US8100082B2 (en) 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7744720B2 (en) * 2007-12-06 2010-06-29 Tokyo Electron Limited Suppressor of hollow cathode discharge in a shower head fluid distribution system
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
CN101971292B (zh) * 2008-04-08 2012-07-18 株式会社岛津制作所 等离子体cvd用阴电极和等离子体cvd装置
US20100018463A1 (en) * 2008-07-24 2010-01-28 Chen-Hua Yu Plural Gas Distribution System
JP4864057B2 (ja) * 2008-09-04 2012-01-25 シャープ株式会社 気相成長装置及び気相成長方法
US20100104754A1 (en) * 2008-10-24 2010-04-29 Applied Materials, Inc. Multiple gas feed apparatus and method
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8568529B2 (en) 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
CN102449743A (zh) * 2009-04-24 2012-05-09 应用材料公司 用于后续高温第三族沉积的基材预处理
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
TW201039381A (en) * 2009-04-29 2010-11-01 Applied Materials Inc Method of forming in-situ pre-GaN deposition layer in HVPE
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
TWI372081B (en) * 2010-02-02 2012-09-11 Hermes Epitek Corp Showerhead
WO2011100293A2 (en) * 2010-02-12 2011-08-18 Applied Materials, Inc. Process chamber gas flow improvements
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
KR101058747B1 (ko) 2011-01-07 2011-08-24 주성엔지니어링(주) 가스분사장치
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
KR20130115849A (ko) * 2012-04-13 2013-10-22 삼성전자주식회사 반도체 소자 제조 설비
JP6123208B2 (ja) * 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
DE102013101534A1 (de) 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
FR3029939A1 (fr) * 2014-12-16 2016-06-17 Saint-Gobain Lumilog Reacteur de depot chimique en phase vapeur
JP2016169402A (ja) * 2015-03-11 2016-09-23 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
JP6868616B2 (ja) * 2015-10-08 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 背面でのプラズマ点火が低減されたシャワーヘッド
CN106191816B (zh) * 2016-07-06 2019-04-05 廊坊西波尔钻石技术有限公司 一种热丝化学气相沉积炉进出气气路装置及方法
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
JP2021505766A (ja) * 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US11944988B2 (en) * 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
WO2020185401A1 (en) * 2019-03-11 2020-09-17 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
SE544378C2 (sv) * 2020-07-13 2022-04-26 Epiluvac Ab Anordning och förfarande för att åstadkomma homogen tillväxt och dopning hos halvledarwafer med diameter större än 100 mm
WO2022051079A1 (en) * 2020-09-02 2022-03-10 Applied Materials, Inc. Showerhead design to control stray deposition

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627046A (en) * 1969-11-10 1971-12-14 Lynes Inc Method and apparatus for positioning and gravel packing a production screen in a well bore
US3884301A (en) * 1973-11-23 1975-05-20 Texaco Trinidad Method of gravel-packing a high-pressure well
US4522264A (en) * 1983-09-02 1985-06-11 Otis Engineering Corporation Apparatus and method for treating wells
GB8710685D0 (en) * 1987-05-06 1987-06-10 Turbotak Inc Cluster nozzles
US4904621A (en) * 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4846402A (en) * 1988-02-03 1989-07-11 Wheelabrator Air Pollution Control, Inc. Spray nozzle and method of preventing solids build-up thereon
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5042708A (en) * 1990-09-24 1991-08-27 International Business Machines Corporation Solder placement nozzle assembly
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
TW296534B (zh) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
WO1995026427A1 (de) * 1994-03-29 1995-10-05 Schott Glaswerke Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
JPH09149921A (ja) * 1995-09-26 1997-06-10 Shimadzu Corp 救護支援装置
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5568406A (en) * 1995-12-01 1996-10-22 Gerber; Eliot S. Stolen car detection system and method
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5938333A (en) * 1996-10-04 1999-08-17 Amalgamated Research, Inc. Fractal cascade as an alternative to inter-fluid turbulence
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JP3702068B2 (ja) * 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5983333A (en) * 1997-08-27 1999-11-09 Lucent Technologies Inc. High speed module address generator
US6207006B1 (en) * 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US5955952A (en) * 1997-10-24 1999-09-21 Sunset Advertising Enterprises, Inc. Method and system for locating a lost person or lost personal property
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US5983238A (en) * 1997-12-26 1999-11-09 Diamond Id Gemstons identification tracking and recovery system
DE19802572A1 (de) * 1998-01-23 1999-08-05 Siemens Health Service Gmbh & Medizinische Systemarchitektur
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6033921A (en) * 1998-04-06 2000-03-07 Advanced Micro Devices, Inc. Method for depositing a material of controlled, variable thickness across a surface for planarization of that surface
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6034605A (en) * 1998-12-08 2000-03-07 March; Anthony W. System/method for secure storage of personal information and for broadcast of the personal information at a time of emergency
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6333019B1 (en) * 1999-04-29 2001-12-25 Marc-Olivier Coppens Method for operating a chemical and/or physical process by means of a hierarchical fluid injection system
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6449611B1 (en) * 1999-09-30 2002-09-10 Fred Frankel Business model for recovery of missing goods, persons, or fugitive or disbursements of unclaimed goods using the internet
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US20020107947A1 (en) * 1999-12-09 2002-08-08 Zephyr Media, Inc. System and method for integration of a universally publicly accessible global network
JP2001167054A (ja) * 1999-12-09 2001-06-22 Casio Comput Co Ltd 携帯情報機器、認証装置及び認証システム
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
CA2419548A1 (en) * 2000-08-14 2002-02-21 Adbeep.Com, Llc Method and apparatus for displaying advertising indicia on a wireless device
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
JP4756200B2 (ja) * 2000-09-04 2011-08-24 Dowaメタルテック株式会社 金属セラミックス回路基板
US20020039067A1 (en) * 2000-10-03 2002-04-04 Timothy Eubanks Personnel location system
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP3500359B2 (ja) * 2001-01-30 2004-02-23 東京エレクトロン株式会社 熱処理装置および熱処理方法ならびに基板処理装置および基板処理方法
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
WO2004109761A2 (en) * 2003-05-30 2004-12-16 Aviza Technology Inc. Gas distribution system
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101368266B (zh) * 2007-06-21 2011-10-05 Sfa股份有限公司 用于平面显示器的化学气相沉积装置
CN102668032A (zh) * 2009-11-20 2012-09-12 京瓷株式会社 沉积膜形成装置
CN105441904A (zh) * 2014-06-18 2016-03-30 中微半导体设备(上海)有限公司 气体喷淋装置、化学气相沉积装置和方法
CN105441904B (zh) * 2014-06-18 2018-06-26 中微半导体设备(上海)有限公司 气体喷淋装置、化学气相沉积装置和方法
CN105331953A (zh) * 2014-07-23 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置以及半导体加工设备
CN105331952A (zh) * 2014-07-23 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置以及半导体加工设备
CN105349967A (zh) * 2015-12-09 2016-02-24 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
CN107527782A (zh) * 2016-06-17 2017-12-29 三星电子株式会社 等离子体处理装置
CN107527782B (zh) * 2016-06-17 2021-01-12 三星电子株式会社 等离子体处理装置
US10903053B2 (en) 2016-06-17 2021-01-26 Samsung Electronics Co., Ltd. Plasma processing apparatus
CN113366145A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 具有可调式气体出口的喷头
CN117248193A (zh) * 2023-11-16 2023-12-19 江苏微导纳米科技股份有限公司 镀膜腔室以及镀膜设备

Also Published As

Publication number Publication date
JP2007525822A (ja) 2007-09-06
EP1629522A4 (en) 2008-07-23
JP2006526900A (ja) 2006-11-24
WO2004109761A3 (en) 2006-12-14
US6921437B1 (en) 2005-07-26
WO2004112092A2 (en) 2004-12-23
WO2004109761A2 (en) 2004-12-16
EP1629527A2 (en) 2006-03-01
CN101068950A (zh) 2007-11-07
KR20060003909A (ko) 2006-01-11
US20050109460A1 (en) 2005-05-26
TW200507023A (en) 2005-02-16
TW200510564A (en) 2005-03-16
WO2004112092A3 (en) 2005-09-15
KR20060011887A (ko) 2006-02-03
US20050217580A1 (en) 2005-10-06
EP1629522A2 (en) 2006-03-01

Similar Documents

Publication Publication Date Title
CN1830069A (zh) 可调节气体分配系统
US6821910B2 (en) Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR102156390B1 (ko) 반도체 처리를 위한 가스 분배 샤워헤드
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
CN1120756C (zh) 用来对中空物件的复杂内表面进行气相镀敷的方法和装置
US8402845B2 (en) Dual path gas distribution device
US7581511B2 (en) Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US6206972B1 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7981472B2 (en) Methods of providing uniform gas delivery to a reactor
JP4216212B2 (ja) 単一ボデー噴射器及び蒸着室
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
CN101058872A (zh) 用于半导体工艺件处理反应器的气体分布装置及其反应器
CN104882399B (zh) 用于改进晶片均匀性的装置和方法
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
CN101770933B (zh) 等离子体处理设备及其气体分配装置
EP0378543B1 (en) Gas injector apparatus for chemical vapor deposition reactors
Lee et al. The effect of hole density variation in the PECVD reactor showerhead on the deposition of amorphous carbon layer
Chae et al. Chemical Vapor Deposition Reactor Design Using Small‐Scale Diagnostic Experiments Combined with Computational Fluid Dynamics Simulations
CN115874281A (zh) Mocvd反应室的隔离挡板装置
KR20230091974A (ko) 프로세스 챔버들을 시즈닝하는 방법들
CN219972456U (zh) 匀气装置和半导体工艺设备
CN116695097A (zh) 匀气装置和半导体工艺设备
Zambov et al. MODELLING AND DESIGN OF INJECTION FEED MULTIWAFER LPCVD REACTORS
KR20190087070A (ko) 수평형 기상 증착 장치용 디퓨저
CN102108498B (zh) 化学气相沉积设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication