KR20230091974A - 프로세스 챔버들을 시즈닝하는 방법들 - Google Patents

프로세스 챔버들을 시즈닝하는 방법들 Download PDF

Info

Publication number
KR20230091974A
KR20230091974A KR1020237017068A KR20237017068A KR20230091974A KR 20230091974 A KR20230091974 A KR 20230091974A KR 1020237017068 A KR1020237017068 A KR 1020237017068A KR 20237017068 A KR20237017068 A KR 20237017068A KR 20230091974 A KR20230091974 A KR 20230091974A
Authority
KR
South Korea
Prior art keywords
precursor gas
film
seasoning
power
depositing
Prior art date
Application number
KR1020237017068A
Other languages
English (en)
Inventor
비나야크 비쉬와나트 하싼
바스카르 쿠마르
아눕 쿠마르 싱
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230091974A publication Critical patent/KR20230091974A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 개시내용의 실시예들은 반도체 프로세싱에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 프로세스 챔버의 하나 이상의 컴포넌트들을 시즈닝하기 위한 방법들에 관한 것이다. 적어도 하나의 실시예에서, 프로세스 챔버를 시즈닝하기 위한 방법은, 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 시즈닝 막을 증착하는 단계를 포함한다. 방법은 시즈닝 막 상에 증착 막을 증착하는 단계를 포함한다. 적어도 하나의 실시예에서, 방법은, 질소-처리된 시즈닝 막을 형성하기 위해, 시즈닝 막에 질소-함유 가스를 도입하는 단계를 포함한다. 시즈닝 막에 질소-함유 가스를 도입하는 단계가 시즈닝 막 상에 증착 막을 증착하기 전에 수행된다.

Description

프로세스 챔버들을 시즈닝하는 방법들
[0001] 본 개시내용의 실시예들은 반도체 프로세싱에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 프로세스 챔버의 하나 이상의 컴포넌트들을 시즈닝(seasoning)하기 위한 방법들에 관한 것이다.
[0002] 반도체 프로세싱은 기판 상에 작은 집적 회로들이 생성되는, 다수의 상이한 화학적 및 물리적 프로세스들을 수반한다. 집적 회로를 구성하는 재료들의 층들은, 화학 기상 증착, 물리 기상 증착, 에피택셜 성장, 화학 처리, 전기화학 프로세스 등에 의해 생성된다.
[0003] 통상적인 반도체 프로세스 챔버는, 프로세스 구역을 정의하는 챔버 바디, 가스 공급부로부터 프로세스 구역 내에 가스를 공급하도록 구성된 가스 분배 조립체, 기판 지지 조립체 상에 포지셔닝된 기판을 프로세싱하기 위해 프로세스 가스를 에너자이징(energize)하는데 활용되는 가스 에너자이저(gas energizer), 예컨대, 플라즈마 발생기, 및 가스 배기관을 포함한다. 플라즈마 프로세싱 동안, 에너자이징된 가스는 종종, 프로세스 챔버 컴포넌트들, 예컨대 프로세싱 동안 기판을 홀딩하는 정전 척(electrostatic chuck)의 노출된 부분들을 에칭 및 침식시키는 이온들, 라디칼들, 및/또는 다른 고 반응성 종을 포함한다. 부가적으로, 프로세싱 부산물들이 통상적으로 고 반응성 불소로 주기적으로 세정되어야 하는 챔버 컴포넌트들 상에 종종 증착된다. 따라서, 프로세스 챔버의 청결을 유지하기 위해, 프로세스 챔버로부터 부산물들을 제거하기 위해 주기적인 세정 프로세스가 수행된다. 프로세싱 및 세정 동안 반응성 종으로부터의 공격은 챔버 컴포넌트들의 수명을 감소시키고 서비스 빈도를 증가시킨다. 부가적으로, 챔버 컴포넌트의 침식된 부분들로부터의 플레이크(flake)들, 이를테면, 알루미늄 불화물(AlF)은 기판 프로세싱 동안에 미립자 오염의 소스가 될 수 있다. 게다가, 세정 프로세스 동안 비교적 높은 온도의 컴포넌트 표면 상에 형성된 AlF3는 승화될 수 있지만, 세정 프로세스 후에, 샤워헤드와 같은 비교적 낮은 온도의 챔버 컴포넌트 표면 상에 나중에 증착될 수 있다. 이러한 잔류 증착물은 조기 챔버 컴포넌트 고장 및 빈번한 챔버 유지보수를 초래할 수 있다.
[0004] 프로세스 챔버 컴포넌트들 중 하나 이상 상에 보호 재료들(예컨대, 층들)을 증착함으로써 챔버 컴포넌트들을 보호("시즈닝")하려는 시도들이 이루어졌다. 그러나, 종래의 시즈닝 방법들은 저압(및/또는 저온)에서 동작하는 CVD 챔버들에 대해서는 작동하지 않는다. 그러한 저압/저온 CVD 챔버들은, 기판 상에 진보된 패터닝 막들, 이를테면 하드마스크 막들, 예컨대 비정질 탄소 하드마스크 막들을 증착하는 데 유리하게 사용될 수 있다. 그러한 챔버들에 사용되는 저압, 저온 및/또는 더 큰 프로세싱 볼륨 때문에, (챔버 컴포넌트들의) 종래의 시즈닝 방법들은 플레이킹(flaking)되기 쉽고 프로세스 챔버의 컴포넌트(들)에 대해 열등한 접착을 갖는 재료들(예컨대, 층들)을 제공한다. 그러한 플레이킹 및 열등한 접착은 프로세싱 동안에 기판들의 오염을 촉진시킬 수 있다.
[0005] 따라서, 챔버 컴포넌트들의 수명을 증가시키고 고품질의 프로세싱된 웨이퍼들을 제공하기 위한, 프로세스 챔버의 청결 및 챔버 컴포넌트들의 무결성을 유지하기 위한 개선된 프로세스들에 대한 필요성이 존재한다.
[0006] 본 개시내용의 실시예들은 반도체 프로세싱에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 프로세스 챔버의 하나 이상의 컴포넌트들을 시즈닝하기 위한 방법들에 관한 것이다.
[0007] 적어도 하나의 실시예에서, 프로세스 챔버를 시즈닝하기 위한 방법은, 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 시즈닝 막을 증착하는 단계를 포함한다. 방법은 시즈닝 막 상에 증착 막을 증착하는 단계를 포함한다.
[0008] 적어도 하나의 실시예에서, 컴포넌트 상에 시즈닝 막을 증착하는 단계를 포함하는 방법은 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계를 포함한다. 방법은, 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비를 제2 유동 비로 조정하는 단계를 포함한다.
[0009] 적어도 하나의 실시예에서, 프로세스 챔버를 시즈닝하기 위한 방법은, 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계를 포함한다. 방법은 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계를 포함한다. 방법은 제2 시즈닝 막 상에 증착 막을 증착하는 단계를 포함한다. 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함한다.
[0010] 적어도 하나의 실시예에서, 프로세스 챔버를 시즈닝하기 위한 방법은, 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계를 포함한다. 방법은 제1 시즈닝 막 상에 복수의 부가적인 시즈닝 막들을 증착하는 단계를 포함한다. 방법은 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계를 포함한다. 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함한다.
[0011] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0012] 도 1은 본 개시내용의 일 양상에 따른, 프로세스 챔버를 시즈닝하기 위한 프로세스의 프로세스 흐름도이다.
[0013] 도 2는 본 개시내용의 일 양상에 따른, 프로세스 챔버의 개략적인 측단면도이다.
[0014] 도 3a는 본 개시내용의 일 양상에 따른, 도관에 커플링된 덮개의 일부의 개략적인 측단면도이다.
[0015] 도 3b는 도 2a의 덮개의 샤워헤드의 사시도이다.
[0016] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
[0017] 본 개시내용의 실시예들은 반도체 프로세싱에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 프로세스 챔버의 하나 이상의 컴포넌트들을 시즈닝하기 위한 방법들에 관한 것이다.
[0018] 본 개시내용의 방법들은, 증착 막과 챔버 컴포넌트 사이에 배치된 시즈닝 막을 제공함으로써, 보호 재료들(증착 막)의 감소된 플레이킹 및 챔버 컴포넌트에 대한 증착 막의 개선된 접착을 제공할 수 있다. 예컨대, 증착 막은 약 400 MPa 이하의 고유 응력(압축), 이를테면 약 100 MPa 내지 약 300 MPa의 고유 응력(압축)을 가질 수 있는 반면, 챔버 컴포넌트(예컨대, 알루미늄-함유 컴포넌트)는 약 800 MPa 이상의 고유 응력(압축), 이를테면 약 900 MPa 내지 약 1,200 MPa의 고유 응력(압축)을 가질 수 있다. 부가적으로 또는 대안적으로, 챔버 컴포넌트는 약 100 MPa 이상의 고유 응력(인장), 이를테면 약 200 MPa 내지 약 500 MPa의 고유 응력(인장)을 가질 수 있다. 증착 막과 챔버 컴포넌트의 고유 응력의 그러한 불일치는 증착 막과 챔버 컴포넌트의 열등한 접착 및 플레이킹을 촉진한다. 그러나, 본 발명자들은, 시즈닝 막의 고유 응력을 제어함으로써, 시즈닝 막이, 시즈닝 막의 일 측 상의 증착 막에 유익하게 접착하고 시즈닝 막의 반대편 측 상의 챔버 컴포넌트에 접착하도록 맞춰질 수 있다는 것을 발견하였다. 본 발명자들은 그러한 개선들을 달성하기 위한 다수의 접근법들을 발견하였다. 본 개시내용의 목적들을 위해, 고유 응력은, 챔버 컴포넌트 상에 쿠폰을 붙이고, 쿠폰 상에 측정될 막을 증착하고, 막이 상부에 증착된 쿠폰을 제거하고, 그리고 임의의 적절한 광학 분광법 기법을 사용하여 막을 분석함으로써 결정될 수 있다. 본 개시내용의 예시적인 양상들로부터 이익을 얻도록 구성될 수 있는 프로세스 챔버들의 예들은, 캘리포니아, 산타클라라에 소재하는 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 상업적으로 입수가능한 PIONEER™ PECVD 시스템을 포함한다. 다른 제조업체들로부터의 것들을 포함하는 다른 프로세스 챔버들 및/또는 프로세싱 플랫폼(processing platform)들이 본 개시내용의 양상들로부터 이익을 얻도록 구성될 수 있다는 것이 고려된다.
[0019] 일부 실시예들에서, 도 1에 도시된 바와 같이, 프로세스 챔버(예컨대, 프로세스 챔버의 하나 이상의 컴포넌트들)를 시즈닝하기 위한 방법(100)은 약 4 mTorr 내지 약 20 mTorr의 챔버 압력, 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 시즈닝 막을 증착하는 단계(102)를 포함한다. 방법은 시즈닝 막 상에 증착 막을 증착하는 단계(104)를 포함할 수 있다. 적어도 하나의 실시예에서, 온도는 약 100℃ 내지 약 200℃이다. 대안적으로, 온도는 약 200℃ 내지 약 400℃, 이를테면 약 250℃ 내지 약 350℃일 수 있다. 더 높은 온도는 (더 낮은 온도를 사용하는 프로세스들과 비교하여) 더 양호한 막 품질, 접착, 및/또는 더 낮은 고유 응력을 제공할 수 있다. 예컨대, (1) 시즈닝 막과 증착 막 및/또는 (2) 시즈닝 막과 챔버 컴포넌트가 (더 낮은 온도를 사용하는 프로세스들과 비교하여) 어느 정도 더 양호하게 서로 확산될 수 있기 때문에, 접착이 개선될 수 있다. 그러나, 더 높은 온도의 사용은 방법을 수행하는 비용을 증가시킨다.
[0020] 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 증착 막을 증착하는 단계는 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 제2 탄소-함유 전구체 가스는 제1 탄소-함유 전구체 가스와 동일하거나 또는 상이하고, 제2 불활성 전구체 가스는 제1 불활성 전구체 가스와 동일하거나 또는 상이하다. 일부 실시예들에서, 제1 탄소-함유 전구체 가스 및/또는 제2 탄소-함유 전구체 가스는 아세틸렌이다. 일부 실시예들에서, 제1 불활성 전구체 가스 및/또는 제2 불활성 전구체 가스는 헬륨이다.
[0021] 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 제1 탄소-함유 전구체 가스를 약 100 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계, 및 제1 불활성 전구체 가스를 약 150 sccm 내지 약 800 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 이러한 유량들은 300 mm 웨이퍼들을 프로세싱하도록 설계된 챔버들에 기반한다.
[0022] 증착 막은 비정질 탄소-함유 막일 수 있다. 일부 실시예들에서, 증착 막은 약 400 MPa 이하의 고유 응력(압축), 이를테면 약 100 MPa 내지 약 300 MPa의 고유 응력(압축)을 갖는다. 챔버 컴포넌트는 알루미늄 또는 알루미늄 합금과 같은 금속-함유 컴포넌트이다. 챔버 컴포넌트는 약 800 MPa 이상의 고유 응력(압축), 이를테면 약 900 MPa 내지 약 1,200 MPa의 고유 응력(압축)을 가질 수 있다. 부가적으로 또는 대안적으로, 챔버 컴포넌트는 약 100 MPa 이상의 고유 응력(인장), 이를테면 약 200 MPa 내지 약 500 MPa의 고유 응력(인장)을 가질 수 있다.
[0023] 시즈닝 막 상에 증착 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다. 시즈닝 막 상에 증착 막을 증착하는 단계는 제2 탄소-함유 전구체 가스를 약 200 sccm 내지 약 400 sccm의 유량으로 프로세스 챔버 내로 유동시킴으로써 수행된다. 일부 실시예들에서, 시즈닝 막 상에 증착 막을 증착하는 단계는 프로세스 챔버에 약 1 kW 내지 약 6 kW의 RF 전력을 제공하는 단계를 포함한다.
[0024] 방법들은, 질소-처리된 시즈닝 막을 형성하기 위해, 시즈닝 막에 질소-함유 가스를 도입하는 단계를 더 포함할 수 있다. 시즈닝 막에 질소-함유 가스를 도입하는 단계는 시즈닝 막 상에 증착 막을 증착하기 전에 수행될 수 있다.
[0025] 시즈닝 막에 질소-함유 가스를 도입하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및/또는 약 200℃ 미만의 온도에서 수행될 수 있다. 질소-함유 가스는 임의의 적절한 질소-함유 가스, 이를테면 암모니아를 포함할 수 있다. 시즈닝 막에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 약 25 sccm 내지 약 1,000 sccm, 이를테면 약 50 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 시즈닝 막에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 활성화시키기 위해, 프로세스 챔버에 약 100 kW 내지 약 4,000 kW의 RF 전력을 제공하는 단계를 포함할 수 있다.
[0026] 본 개시내용의 시즈닝 막(들)에 질소-함유 가스를 도입하는 단계는 증착 막에 대한 핵형성 사이트를 제공하는 질소 종결 표면을 제공하여, 증착 막에 대한 개선된 접착 및 증착 막의 제어된 본딩 구조(예컨대, 증착 층의 낮은 고유 응력)를 제공한다. 시즈닝 막(들)에 질소-함유 가스를 도입하는 단계는 저온 및/또는 저압에서 수행될 수 있기 때문에, 질소 처리는 시즈닝 막(들)의 표면에서 주로 발생하여, 증착 막의 본딩 및 핵형성을 촉진할 수 있다. 또한, 증착 막이 저온 및/또는 저압으로 증착될 수 있기 때문에, 증착 층은 낮은 질소 함량을 가져서, 증착 막의 고유 응력 및 플레이킹을 감소시킬 수 있다.
[0027] 프로세스 챔버의 컴포넌트는 임의의 적절한 컴포넌트, 이를테면 챔버의 벽, 스페이서, 기판 지지부(이를테면, 에지 링) 등일 수 있다. 적어도 하나의 실시예에서, 컴포넌트는 웨이퍼가 아니고, 예컨대 반도체 웨이퍼가 아니다. 예컨대, 프로세스들은 챔버의 페디스털 상에 불활성 기판을 배치하는 단계 및 시즈닝 방법을 수행하는 단계를 포함할 수 있다. 일부 실시예들에서, 프로세스 챔버의 컴포넌트는 프로세스 챔버의 내부 벽이다. 내부 벽들은 종래의 CVD 챔버들의 벽들, 이를테면, Pioneer™ 프로세스 챔버의 벽들보다 더 클 수 있다. 큰 벽들은 통상적으로 적절하게 시즈닝하기가 매우 어렵고, 본 개시내용의 방법들은 그러한 제한들을 극복할 수 있다.
단계적(graded) 시즈닝 막들
[0028] 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 컴포넌트 상에 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계를 포함한다. 방법들은, 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비를 제2 유동 비로 조정하는 단계를 포함한다. 제1 유동 비를 제2 유동 비로 조정하는 단계는 시즈닝 막의 표면들의 본딩 구조(예컨대, sp2 대 sp3 탄소-함량)의 제어를 제공한다. 예컨대, 제1 유동 비를 제2 유동 비로 느리게 조정하는 것은 컴포넌트 상에 배치된 시즈닝 막의 측으로부터 증착 막이 상부에 배치된 시즈닝 막의 측으로의 본딩 구조의 점진적인 변화를 갖는 단계적 시즈닝 막을 제공할 수 있다. 시즈닝 막의 표면들의 혼성화(hybridization)는 챔버 컴포넌트 및 증착 층에 대한 시즈닝 막의 표면의 접착에 영향을 미칠 수 있다. sp2 특성(character)이 높을수록 증가된 고유 응력을 제공한다. 예컨대, 종래의 시즈닝 방법들의 재료들과 비교하여, 제1 유동 비는 챔버 컴포넌트에 대한 유익한 접착을 위해 (시즈닝 막의 제1 표면의) 높은 고유 응력을 촉진할 수 있는 반면, 제2 유동 비는 (시즈닝 막의 제2 측의) 낮은 고유 응력을 제공하여, 증착 막 및/또는 시즈닝 막의 플레이킹을 감소시킬 수 있다.
[0029] 일부 실시예들에서, 시즈닝 막은 비정질 탄소-함유 막이다. 일부 실시예들에서, 시즈닝 막은 약 300 MPa 내지 약 800 MPa)의 고유 응력(압축)을 갖는다. 시즈닝 막은 제1 측 상의 약 300 MPa 내지 약 550 MPa(압축)에서 제2 측 상의 약 550 MPa 내지 약 800 MPa(압축)로 진행될 수 있다. 시즈닝 막의 고유 응력의 구배는 (고온/고압 CVD 챔버들의 종래의 시즈닝 막들과 비교하여) 개선된 접착 및 감소된 플레이킹을 제공한다.
[0030] 적어도 하나의 실시예에서, 제1 유동 비는 약 1:1 내지 약 1:2이다. 일부 실시예들에서, 제2 유동 비는 약 10:1 내지 약 1:1 또는 약 1:2 내지 약 1:10이다. 예컨대, 높은 아세틸렌 대 헬륨 비는 (더 낮은 비와 비교하여) 더 높은 고유 응력을 제공할 수 있다. 아세틸렌이 더 많이 희석될수록(예컨대, 1:10 비), 더 낮은 고유 응력을 갖는 재료가 형성될 것이다.
[0031] 제1 유동 비를 제2 유동 비로 조정하는 단계는 약 1 sccm/sec 내지 약 20 sccm/sec의 레이트(rate)로 수행될 수 있다.
[0032] 컴포넌트 상에 시즈닝 막을 증착하는 단계는 챔버에 (예컨대, 챔버(예컨대, 페디스털)의 최하부 전극으로부터 제공되는) 제1 RF 전력을 제공하는 단계, 및 제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함할 수 있다. 제1 RF 전력을 제2 RF 전력으로 조정하는 단계는 시즈닝 막의 표면들의 본딩 구조(예컨대, sp2 대 sp3 탄소-함량)의 제어를 제공한다. 예컨대, 제1 RF 전력을 제2 RF 전력으로 느리게 조정하는 것은, 컴포넌트 상에 배치된 시즈닝 막의 측으로부터 증착 막이 상부에 배치된 시즈닝 막의 측으로의 본딩 구조의 점진적인 변화를 갖는 단계적 시즈닝 막을 제공할 수 있다. 예컨대, 제1 RF 전력은 챔버 컴포넌트에 대한 유익한 접착을 위해 (시즈닝 막의 제1 표면의) 높은 고유 응력을 촉진할 수 있는 반면, 제2 RF 전력은 증착 층에 대한 유익한 접착을 위해 (시즈닝 막의 제2 측의) 낮은 고유 응력을 제공할 수 있다. 유익한 접착은, 종래의 시즈닝 방법들의 재료들과 비교하여, 증착 막 및/또는 시즈닝 막의 감소된 플레이킹을 제공한다.
[0033] 일부 실시예들에서, 방법들은, 약 20 W/sec 내지 약 500 W/sec의 레이트로 제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함한다. 적어도 하나의 실시예에서, 제1 RF 전력 및 제2 RF 전력은 독립적으로, 약 1 kW 내지 약 6 kW이다. 예컨대, 제1 RF 전력은 약 1 kW 내지 약 4 kW일 수 있고, 제2 RF 전력은 약 4 kW 내지 약 6 kW일 수 있다. 낮은 RF 전력은 높은 고유 응력을 갖는 재료를 제공하는 반면, 높은 RF 전력은 낮은 고유 응력을 갖는 재료를 제공한다.
[0034] 일부 실시예들에서, 시즈닝 막은 약 100 nm 내지 약 700 nm의 두께를 가질 수 있다. 증착 막은 약 500 nm 내지 약 3 미크론의 두께를 가질 수 있다.
[0035] 방법들은, 질소-처리된 시즈닝 막을 형성하기 위해, 시즈닝 막에 질소-함유 가스를 도입하는 단계를 더 포함할 수 있다. 시즈닝 막에 질소-함유 가스를 도입하는 단계는 시즈닝 막 상에 증착 막을 증착하기 전에 수행될 수 있다. 일부 실시예들에서, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도로 수행된다. 질소-함유 가스는 임의의 적절한 질소-함유 가스, 이를테면 암모니아를 포함할 수 있다.
[0036] 시즈닝 막에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 약 25 sccm 내지 약 1,000 sccm, 이를테면 약 50 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 일부 실시예들에서, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 프로세스 챔버에 약 100 kW 내지 약 4,000 kW의 RF 전력을 제공하는 단계를 포함한다.
이중층 시즈닝 막들
[0037] 일부 실시예들에서, 프로세스 챔버를 시즈닝하기 위한 방법은, 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계를 포함한다. 일부 실시예들에서, 온도는 약 100℃ 내지 약 200℃이다. 대안적으로, 일부 실시예들에서, 온도는 약 200℃ 내지 약 400℃, 이를테면 약 250℃ 내지 약 300℃이다. 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함한다. 방법들은 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계를 포함한다.
[0038] 일부 실시예들에서, 제1 시즈닝 막 및/또는 제2 시즈닝 막은 비정질 탄소-함유 막이다. 일부 실시예들에서, 제1 시즈닝 막 및/또는 제2 시즈닝 막은 독립적으로, 약 300 MPa 내지 약 800 MPa의 고유 응력(압축)을 갖는다. 일부 실시예들에서, 제1 시즈닝 막은 약 550 MPa 내지 약 800 MPa의 고유 응력(압축)을 갖는다. 적어도 하나의 실시예에서, 제2 시즈닝 막은 약 300 MPa 내지 약 550 MPa의 고유 응력(압축)을 갖는다.
[0039] 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행될 수 있다. 제2 시즈닝 막을 증착하는 단계는 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다.
[0040] 방법들은 제2 시즈닝 막 상에 증착 막을 증착하는 단계를 더 포함할 수 있다. 증착 막을 증착하는 단계는 제3 탄소-함유 전구체 가스 및 제3 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 제2 탄소-함유 전구체 가스는 제1 또는 제3 탄소-함유 전구체 가스와 동일하거나 또는 상이하고, 제2 불활성 전구체 가스는 제1 또는 제3 불활성 전구체 가스와 동일하거나 또는 상이하다. 마찬가지로, 제3 탄소-함유 전구체 가스는 제1 또는 제2 탄소-함유 전구체 가스와 동일하거나 또는 상이하고, 제3 불활성 전구체 가스는 제1 또는 제2 불활성 전구체 가스와 동일하거나 또는 상이하다. 일부 실시예들에서, 제1 탄소-함유 전구체 가스 및/또는 제2 탄소-함유 전구체 가스는 아세틸렌을 포함한다. 일부 실시예들에서, 제1 불활성 전구체 가스 및/또는 제2 불활성 전구체 가스는 헬륨을 포함한다.
[0041] 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계를 포함할 수 있다. 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비를 제2 유동 비로 조정하는 단계를 포함할 수 있다. 제1 유동 비를 제2 유동 비로 조정하는 단계는 시즈닝 막의 표면들의 본딩 구조(예컨대, sp2 대 sp3 탄소-함량)의 제어를 제공한다. 예컨대, 제1 유동 비를 제2 유동 비로 신속하게 조정하는 것은 컴포넌트 상에 배치된 제1 시즈닝 막의 측으로부터 증착 막이 상부에 배치된 제2 시즈닝 막의 측으로의 본딩 구조의 변화를 갖는 이중층 시즈닝 막을 제공할 수 있다. 예컨대, 제1 유동 비는 챔버 컴포넌트에 대한 유익한 접착을 위해 (제1 시즈닝 막의) 높은 고유 응력을 촉진할 수 있는 반면, 제2 유동 비는 증착 층에 대한 유익한 접착을 위해 (제2 시즈닝 막의) 낮은 고유 응력을 제공할 수 있다. 유익한 접착은, 종래의 시즈닝 방법들의 재료들과 비교하여, 증착 막 및/또는 시즈닝 막의 감소된 플레이킹을 제공한다.
[0042] 일부 실시예들에서, 제1 유동 비는 약 1:1 내지 약 1:2이다. 적어도 하나의 실시예에서, 제2 유동 비는 약 10:1 내지 약 1:1 또는 약 1:2 내지 약 1:10이다.
[0043] 제1 유동 비를 제2 유동 비로 조정하는 단계는 약 1 초 이하, 이를테면, 약 0.5 초 이하의 레이트(rate)로 수행될 수 있다.
[0044] 일부 실시예들에서, 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 챔버에 제1 RF 전력을 제공하는 단계를 포함한다. 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함할 수 있다. 제1 RF 전력을 제2 RF 전력으로 조정하는 단계는 약 1 초 이하, 이를테면 약 0.5 초 이하의 레이트로 수행될 수 있다.
[0045] 제2 시즈닝 막 상에 증착 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도로 수행될 수 있다. 제2 시즈닝 막 상에 증착 막을 증착하는 단계는 제3 탄소-함유 전구체 가스를 약 200 sccm 내지 약 400 sccm의 유량으로 프로세스 챔버 내로 유동시킴으로써 수행될 수 있다.
[0046] 일부 실시예들에서, 제1 탄소-함유 전구체 가스, 제2 탄소-함유 전구체 가스, 및/또는 제3 탄소-함유 전구체 가스는 아세틸렌을 포함한다. 일부 실시예들에서, 제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 및/또는 제3 불활성 전구체 가스는 헬륨을 포함한다. 제2 시즈닝 막 상에 증착 막을 증착하는 단계는 프로세스 챔버에 약 1 kW 내지 약 6 kW의 RF 전력을 제공하는 단계를 포함할 수 있다.
[0047] 적어도 하나의 실시예에서, 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 제1 탄소-함유 전구체 가스를 약 100 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계 및/또는 제1 불활성 전구체 가스를 약 150 sccm 내지 약 800 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 제2 탄소-함유 전구체 가스를 약 100 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 제2 탄소-함유 전구체 가스의 유량은 제1 탄소-함유 전구체 가스의 유량과 상이할 수 있다. 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 약 150 sccm 내지 약 800 sccm의 유량으로 수행될 수 있다. 제2 불활성 전구체 가스의 유량은 제1 불활성 전구체 가스의 유량과 상이할 수 있다.
[0048] 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 챔버에 제1 RF 전력을 제공하는 단계를 포함할 수 있다. 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 챔버에 제2 RF 전력을 제공하는 단계를 포함할 수 있으며, 여기서 제2 RF 전력은 제1 RF 전력과 상이하다. 제1 RF 전력은 제2 RF 전력으로 조정될 수 있다. 제1 RF 전력과 상이한 제2 RF 전력을 제공하는 단계는 시즈닝 막의 표면들의 본딩 구조(예컨대, sp2 대 sp3 탄소-함량)의 제어를 제공한다. 예컨대, 제1 RF 전력을 제2 RF 전력으로 신속하게 조정하는 것은 컴포넌트 상에 배치된 시즈닝 막의 측으로부터 증착 막이 상부에 배치된 시즈닝 막의 측으로의 본딩 구조의 변화를 갖는 단계적 시즈닝 막을 제공할 수 있다. 예컨대, 제1 RF 전력은 챔버 컴포넌트에 대한 유익한 접착을 위해 (제1 시즈닝 막의) 높은 고유 응력을 촉진할 수 있는 반면, 제2 RF 전력은 증착 층에 대한 유익한 접착을 위해 (제2 시즈닝 막의) 낮은 고유 응력을 제공할 수 있다. 유익한 접착은, 종래의 시즈닝 방법들의 재료들과 비교하여, 증착 막 및/또는 시즈닝 막의 감소된 플레이킹을 제공한다.
[0049] 일부 실시예들에서, 제1 RF 전력 및 제2 RF 전력은 독립적으로 약 1 kW 내지 약 6 kW이다. 적어도 하나의 실시예에서, 제1 RF 전력은 약 1 kW 내지 약 3 kW이고, 제2 RF 전력은 약 3 kW 내지 약 6 kW이다.
[0050] 일부 실시예들에서, 제1 시즈닝 막 및 제2 시즈닝 막은 약 100 nm 내지 약 700 nm의 결합된 두께를 가질 수 있다. 제1 시즈닝 막 대 제2 시즈닝 막의 두께 비는 약 2:1 내지 약 1:10, 이를테면 약 1:1일 수 있다. 증착 막은 약 500 nm 내지 약 3 미크론의 두께를 가질 수 있다.
[0051] 방법들은, 질소-처리된 시즈닝 막을 형성하기 위해, 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계를 더 포함할 수 있다. 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 제2 시즈닝 막 상에 증착 막을 증착하기 전에 수행될 수 있다. 일부 실시예들에서, 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다. 질소-함유 가스는 임의의 적절한 질소-함유 가스, 이를테면 암모니아를 포함할 수 있다.
[0052] 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 약 25 sccm 내지 약 1,000 sccm, 이를테면 약 50 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 일부 실시예들에서, 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 프로세스 챔버에 약 100 kW 내지 약 4,000 kW의 RF 전력을 제공하는 단계를 포함한다.
다층 시즈닝 막들
[0053] 본 개시내용의 방법들은, 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도로 프로세스 챔버의 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계를 포함할 수 있다. 온도는 약 100℃ 내지 약 200℃일 수 있다. 대안적으로, 온도는 약 200℃ 내지 약 400℃, 이를테면 약 250℃ 내지 약 300℃일 수 있다. 방법들은 제1 시즈닝 막 상에 복수의 부가적인 시즈닝 막들을 증착하는 단계를 포함한다. 방법들은 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계를 포함할 수 있다.
[0054] 일부 실시예들에서, 제1 시즈닝 막, 및/또는 복수의 부가적인 시즈닝 막들 중 하나 이상의 막들은 비정질 탄소-함유 막이다. 일부 실시예들에서, 제1 시즈닝 막, 및/또는 복수의 부가적인 시즈닝 막들 중 하나 이상의 막들은 독립적으로 약 300 MPa 내지 약 800 MPa의 고유 응력(압축)을 갖는다. 일부 실시예들에서, 제1 시즈닝 막은 약 550 MPa 내지 약 800 MPa의 고유 응력(압축)을 갖는다. 적어도 하나의 실시예에서, 복수의 부가적인 시즈닝 막들 중 하나 이상의 막들은 독립적으로 약 300 MPa 내지 약 550 MPa의 고유 응력(압축)을 갖는다.
[0055] 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다.
[0056] 복수의 부가적인 시즈닝 막들은 약 3개의 부가적인 시즈닝 막들 내지 약 14개의 부가적인 시즈닝 막들, 이를테면 약 4개의 부가적인 시즈닝 막들 내지 약 10개의 부가적인 시즈닝 막들, 이를테면 약 4개의 부가적인 시즈닝 막들 내지 약 8개의 부가적인 시즈닝 막들일 수 있다. 일부 실시예들에서, 복수의 부가적인 시즈닝 막들을 증착하는 단계는 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써, 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계를 포함한다. 복수의 부가적인 시즈닝 막들을 증착하는 단계는 제3 탄소-함유 전구체 가스 및 제3 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제2 시즈닝 막 상에 제3 시즈닝 막을 증착하는 단계를 포함할 수 있다. 복수의 부가적인 시즈닝 막들을 증착하는 단계는 제4 탄소-함유 전구체 가스 및 제4 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써, 제3 시즈닝 막 상에 제4 시즈닝 막을 증착하는 단계를 포함할 수 있다. 제2, 제3 및 제4 탄소-함유 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하다. 일부 실시예들에서, 제1 탄소-함유 전구체 가스, 제2 탄소-함유 전구체 가스, 제3 탄소-함유 전구체 가스, 및/또는 제4 탄소-함유 전구체 가스는 아세틸렌을 포함한다. 제2, 제3, 및 제4 불활성 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하다. 일부 실시예들에서, 제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 제3 불활성 전구체 가스, 및/또는 제4 불활성 전구체 가스는 헬륨을 포함한다.
[0057] 일부 실시예들에서, 복수의 부가적인 시즈닝 막들을 증착하는 단계는 제5 탄소-함유 전구체 가스 및 제5 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써, 제4 시즈닝 막 상에 제5 시즈닝 막을 증착하는 단계를 포함한다. 방법들은, 제6 탄소-함유 전구체 가스 및 제6 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제5 시즈닝 막 상에 제6 시즈닝 막을 증착하는 단계를 포함할 수 있다. 방법들은, 제7 탄소-함유 전구체 가스 및 제7 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써, 제6 시즈닝 막 상에 제7 시즈닝 막을 증착하는 단계를 포함할 수 있다. 방법들은, 제8 탄소-함유 전구체 가스 및 제8 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제7 시즈닝 막 상에 제8 시즈닝 막을 증착하는 단계를 포함할 수 있다. 제5, 제6, 제7 및 제8 탄소-함유 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하다. 일부 실시예들에서, 제1 탄소-함유 전구체 가스, 제2 탄소-함유 전구체 가스, 제3 탄소-함유 전구체 가스, 제4 탄소-함유 전구체 가스, 제5 탄소-함유 전구체 가스, 제6 탄소-함유 전구체 가스, 제7 탄소-함유 전구체 가스 및/또는 제8 탄소-함유 전구체 가스는 아세틸렌을 포함한다. 제5, 제6, 제7 및 제8 불활성 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하다. 일부 실시예들에서, 제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 제3 불활성 전구체 가스, 제4 불활성 전구체 가스, 제5 불활성 전구체 가스, 제6 불활성 전구체 가스, 제7 불활성 전구체 가스, 및/또는 제8 불활성 전구체 가스는 헬륨을 포함한다.
[0058] 일부 실시예들에서, 복수의 부가적인 시즈닝 막들 중 하나 이상의 시즈닝 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및/또는 약 200℃ 미만의 온도에서 수행된다. 적어도 하나의 실시예에서, 복수의 부가적인 시즈닝 막들의 각각의 시즈닝 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
[0059] 일부 실시예들에서, 복수의 부가적인 시즈닝 막들의 각각의 시즈닝 막은 복수의 부가적인 시즈닝 막들의 인접한 시즈닝 막과 상이한 고유 응력을 갖는다. 예컨대, 제3 시즈닝 막은 제2 시즈닝 막 및 제4 시즈닝 막과는 상이한 고유 응력을 갖는다. 마찬가지로, 일부 실시예들에서, 제2 시즈닝 막은 제1 시즈닝 막 및 제3 시즈닝 막과는 상이한 고유 응력을 갖는다. 일부 실시예들에서, 제1 시즈닝 막은 제3 시즈닝 막, 제5 시즈닝 막 및/또는 제7 시즈닝 막과 실질적으로 동일한 고유 응력을 갖는다. 적어도 하나의 실시예에서, 제2 시즈닝 막은 제4 시즈닝 막, 제6 시즈닝 막 및/또는 제8 시즈닝 막과 실질적으로 동일한 고유 응력을 갖는다.
[0060] 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계를 포함할 수 있다. 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비를 제2 유동 비로 조정하는 단계를 포함한다. 제2 시즈닝 막 상에 제3 시즈닝 막을 증착하는 단계는 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스의 제2 유동 비를 제3 유동 비로 조정하는 단계를 포함할 수 있다. 제3 시즈닝 막 상에 제4 시즈닝 막을 증착하는 단계는 제3 탄소-함유 전구체 가스 및 제3 불활성 전구체 가스의 제3 유동 비를 제4 유동 비로 조정하는 단계를 포함할 수 있다. 일부 실시예들에서, 제1 유동 비 및 제3 유동 비는 독립적으로, 약 1:1 내지 약 1:2이다. 제2 유동 비 및 제4 유동 비는 약 10:1 내지 약 1:1 또는 약 1:2 내지 약 1:10일 수 있다.
[0061] 제1 유동 비를 제2 유동 비로, 제2 유동 비를 제3 유동 비로, 그리고/또는 제3 유동 비를 제4 유동 비로 조정하는 단계는 독립적으로, 약 1 초 이하, 이를테면 약 0.5 초 이하의 레이트로 수행될 수 있다.
[0062] 제4 시즈닝 막 상에 제5 시즈닝 막을 증착하는 단계는 제5 탄소-함유 전구체 가스 및 제5 불활성 전구체 가스를 제5 탄소-함유 전구체 가스 및 제5 불활성 전구체 가스의 제5 유동 비로 유동시키는 단계를 포함할 수 있다. 제5 시즈닝 막 상에 제6 시즈닝 막을 증착하는 단계는 제5 탄소-함유 전구체 가스 및 제5 불활성 전구체 가스의 제5 유동 비를 제6 유동 비로 조정하는 단계를 포함한다. 제6 시즈닝 막 상에 제7 시즈닝 막을 증착하는 단계는 제6 탄소-함유 전구체 가스 및 제6 불활성 전구체 가스의 제6 유동 비를 제7 유동 비로 조정하는 단계를 포함할 수 있다. 제7 시즈닝 막 상에 제8 시즈닝 막을 증착하는 단계는 제7 탄소-함유 전구체 가스 및 제7 불활성 전구체 가스의 제7 유동 비를 제8 유동 비로 조정하는 단계를 포함할 수 있다. 일부 실시예들에서, 제5 유동 비 및 제7 유동 비는 독립적으로, 약 1:1 내지 약 1:2이다. 제6 유동 비 및 제8 유동 비는 약 10:1 내지 약 1:1 또는 약 1:2 내지 약 1:10일 수 있다.
[0063] 제1 유동 비를 제2 유동 비로, 제2 유동 비를 제3 유동 비로, 제3 유동 비를 제4 유동 비로, 제4 유동 비를 제5 유동 비로, 제5 유동 비를 제6 유동 비로, 제6 유동 비를 제7 유동 비로, 그리고/또는 제7 유동 비를 제8 유동 비로 조정하는 단계는 독립적으로 약 1초 이하, 이를테면 약 0.5초 이하의 레이트로 수행될 수 있다.
[0064] 제1 유동 비를 제2 유동 비로, 제2 유동 비를 제3 유동 비로, 제3 유동 비를 제4 유동 비로의 식으로 조정하는 것은 전체 시즈닝 막 구조의 표면들의 본딩 구조(예컨대, sp2 대 sp3 탄소-함량)의 제어를 제공할 수 있게 한다. 예컨대, 유동 비를 다른 유동 비로 신속하게 조정하는 것(예컨대, 교번 유동 비 1이 2로 3(예컨대, 1과 동일)으로 4(예컨대, 2와 동일)로 등)은 하나의 시즈닝 막으로부터 인접한 시즈닝 막으로의 본딩 구조의 교번적인 변화를 갖는 교번 시즈닝 막을 제공할 수 있다. (전체 시즈닝 막 구조의) 시즈닝 막들의 표면들의 혼성화는 챔버 컴포넌트 및 증착 층에 대한 시즈닝 막 구조의 표면의 접착에 영향을 미칠 수 있다. sp2 특성이 높을수록 증가된 고유 응력을 제공한다. 예컨대, 제1 유동 비, 제3 유동 비, 제5 유동 비, 및/또는 제7 유동 비는 챔버 컴포넌트에 대한 유익한 접착을 위해 (시즈닝 막의 표면의) 높은 고유 응력을 촉진할 수 있는 반면, 제2 유동 비, 제4 유동 비, 제6 유동 비, 및/또는 제8 유동 비는 증착 층에 대한 유익한 접착을 위해 (전체 시즈닝 막 구조의) 낮은 고유 응력을 제공할 수 있다. 교번 증착 막들은 전체 시즈닝 막 구조의 낮은 고유 응력(및 각각의 막의 얇음(thinness)으로 인한 개별 막들 사이의 낮은 고유 응력)을 제공하며, 이는 인접한 컴포넌트들(이를테면, 챔버 컴포넌트 및 증착 막)에 대한 접착을 촉진한다. 유익한 접착은, 종래의 시즈닝 방법들의 재료들과 비교하여, 증착 막 및/또는 시즈닝 막의 감소된 플레이킹을 제공한다.
[0065] 방법들은, 챔버에 제1 RF 전력을 제공함으로써 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계를 포함할 수 있다. 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함할 수 있다. 제2 시즈닝 막 상에 제3 시즈닝 막을 증착하는 단계는 제2 RF 전력을 제3 RF 전력으로 조정하는 단계를 포함할 수 있다. 제3 시즈닝 막 상에 제4 시즈닝 막을 증착하는 단계는 제3 RF 전력을 제4 RF 전력으로 조정하는 단계를 포함할 수 있다. 제1 RF 전력을 제2 RF 전력으로, 제2 RF 전력을 제3 RF 전력으로, 그리고/또는 제3 RF 전력을 제4 RF 전력으로 조정하는 단계는 독립적으로 약 1초 이하, 이를테면 0.5 초 이하의 레이트로 수행될 수 있다. 일부 실시예들에서, 제1 RF 전력, 제2 RF 전력, 제3 RF 전력, 및/또는 제4 RF 전력은 독립적으로, 약 1 kW 내지 약 6 kW이다. 일부 실시예들에서, 제1 RF 전력 및/또는 제3 RF 전력은 독립적으로 약 1 kW 내지 약 3 kW이다. 적어도 하나의 실시예에서, 제2 RF 전력 및/또는 제4 RF 전력은 독립적으로 약 3 kW 내지 약 6 kW이다.
[0066] 일부 실시예들에서, 제4 시즈닝 막 상에 제5 시즈닝 막을 증착하는 단계는 제4 RF 전력을 제5 RF 전력으로 조정하는 단계를 포함한다. 제5 시즈닝 막 상에 제6 시즈닝 막을 증착하는 단계는 제5 RF 전력을 제6 RF 전력으로 조정하는 단계를 포함할 수 있다. 제6 시즈닝 막 상에 제7 시즈닝 막을 증착하는 단계는 제6 RF 전력을 제7 RF 전력으로 조정하는 단계를 포함할 수 있다. 제7 시즈닝 막 상에 제8 시즈닝 막을 증착하는 단계는 제7 RF 전력을 제8 RF 전력으로 조정하는 단계를 포함한다. 제1 RF 전력을 제2 RF 전력으로, 제2 RF 전력을 제3 RF 전력으로, 제3 RF 전력을 제4 RF 전력으로, 제4 RF 전력을 제5 RF 전력으로, 제5 RF 전력을 제6 RF 전력으로, 제6 RF 전력을 제7 RF 전력으로, 그리고/또는 제7 RF 전력을 제8 RF 전력으로 조정하는 단계는 독립적으로 약 1초 이하, 이를테면 약 0.5초 이하의 레이트로 수행될 수 있다. 일부 실시예들에서, 제1 RF 전력, 제2 RF 전력, 제3 RF 전력, 제4 RF 전력, 제5 RF 전력, 제6 RF 전력, 제7 RF 전력, 및/또는 제8 RF 전력은 독립적으로 약 1 kW 내지 약 6 kW이다. 적어도 하나의 실시예에서, 제1 RF 전력, 제3 RF 전력, 제5 RF 전력, 및/또는 제7 RF 전력은 독립적으로 약 1 kW 내지 약 3 kW이다. 제2 RF 전력, 제4 RF 전력, 제6 RF 전력, 및/또는 제8 RF 전력은 독립적으로, 약 3 kW 내지 약 6 kW일 수 있다.
[0067] 제1 RF 전력을 제2 RF 전력으로, 제2 RF 전력을 제3 RF 전력으로, 제3 RF 전력을 제4 RF 전력으로의 식으로 조정하는 것은 전체 시즈닝 막 구조의 표면들의 본딩 구조(예컨대, sp2 대 sp3 탄소-함량)의 제어를 제공한다. 예컨대, RF 전력을 다른 RF 전력으로 신속하게 조정하는 것(예컨대, 교번 RF 전력 1이 2로 3(예컨대, 1과 동일)으로 4(예컨대, 2와 동일)로 등)은 하나의 시즈닝 막으로부터 인접한 시즈닝 막으로의 본딩 구조의 교번적인 변화를 갖는 교번 시즈닝 막 구조를 제공할 수 있다. (전체 시즈닝 막 구조의) 시즈닝 막들의 표면들의 혼성화는 챔버 컴포넌트 및 증착 층에 대한 시즈닝 막 구조의 표면의 접착에 영향을 미칠 수 있다. sp2 특성이 높을수록 증가된 고유 응력을 제공한다. 예컨대, 제1 RF 전력, 제3 RF 전력, 제5 RF 전력, 및/또는 제7 RF 전력은 챔버 컴포넌트에 대한 유익한 접착을 위해 (시즈닝 막의 표면의) 높은 고유 응력을 촉진할 수 있는 반면, 제2 RF 전력, 제4 RF 전력, 제6 RF 전력, 및/또는 제8 RF 전력은 증착 층에 대한 유익한 접착을 위해 (전체 시즈닝 막 구조의 제2 측의) 낮은 고유 응력을 제공할 수 있다. 교번 증착 막들은 전체 시즈닝 막 구조의 낮은 고유 응력을 제공하며, 이는 인접한 컴포넌트들(이를테면, 챔버 컴포넌트 및 증착 막)에 대한 접착을 촉진한다. 유익한 접착은, 종래의 시즈닝 방법들의 재료들과 비교하여, 증착 막 및/또는 시즈닝 막의 감소된 플레이킹을 제공한다.
[0068] 일부 실시예들에서, 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 제1 탄소-함유 전구체 가스를 약 100 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계, 및/또는 제1 불활성 전구체 가스를 약 150 sccm 내지 약 800 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함한다.
[0069] 일부 실시예들에서, 제1 불활성 전구체 가스, 제2 탄소-함유 전구체 가스, 제3 탄소-함유 전구체 가스, 및/또는 제4 탄소-함유 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 독립적으로 약 100 sccm 내지 약 600 sccm의 유량으로 수행된다. 제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 제3 불활성 전구체 가스, 및 제4 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 독립적으로 약 150 sccm 내지 약 800 sccm의 유량으로 수행될 수 있다.
[0070] 일부 실시예들에서, 제1 불활성 전구체 가스, 제2 탄소-함유 전구체 가스, 제3 탄소-함유 전구체 가스, 제4 탄소-함유 전구체 가스, 제5 탄소-함유 전구체 가스, 제6 탄소-함유 전구체 가스, 제7 탄소-함유 전구체 가스, 및/또는 제8 탄소-함유 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 독립적으로, 약 100 sccm 내지 약 600 sccm의 유량으로 수행된다. 제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 제3 불활성 전구체 가스, 제4 불활성 전구체 가스, 제5 불활성 전구체 가스, 제6 불활성 전구체 가스, 제7 불활성 전구체 가스 및/또는 제8 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 독립적으로 약 150 sccm 내지 약 800 sccm의 유량으로 수행될 수 있다.
[0071] 제1 시즈닝 막 및 복수의 부가적인 시즈닝 막들은 약 100 nm 내지 약 700 nm의 결합된 두께를 갖는다. 예컨대, 제1 시즈닝 막은 약 10 nm 내지 약 100 nm의 두께를 가질 수 있다. 복수의 부가적인 시즈닝 막들 중 하나 이상의 막들은 독립적으로 약 10 nm 내지 약 100 nm의 두께를 가질 수 있다. 증착 막은 약 500 nm 내지 약 3 미크론의 두께를 가질 수 있다.
[0072] 일부 실시예들에서, 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다. 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계는 탄소-함유 전구체 가스를 약 200 sccm 내지 약 400 sccm의 유량으로 프로세스 챔버 내로 유동시킴으로써 수행될 수 있다. 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계는 프로세스 챔버에 약 1 kW 내지 약 6 kW의 RF 전력을 제공하는 단계를 포함할 수 있다.
[0073] 방법들은 질소-처리된 시즈닝 막을 형성하기 위해 복수의 부가적인 시즈닝 막들 중 하나 이상의 막들에 질소-함유 가스를 도입하는 단계를 더 포함할 수 있다. 예컨대, 복수의 막은 내부 챔버 볼륨에 노출된 표면을 가질 수 있는데, 예컨대, 막은 증착 막이 상부에 증착되는 막이다. 복수의 추가의 시즈닝 막들의 막에 질소-함유 가스를 도입하는 단계는 복수의 추가의 시즈닝 막들의 막 상에 증착 막을 증착하기 전에 수행될 수 있다. 일부 실시예들에서, 막에 질소-함유 가스를 도입하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도로 수행된다. 질소-함유 가스는 임의의 적절한 질소-함유 가스, 이를테면 암모니아를 포함할 수 있다.
[0074] 막에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 약 25 sccm 내지 약 1,000 sccm, 이를테면 약 50 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함할 수 있다. 일부 실시예들에서, 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 프로세스 챔버에 약 100 kW 내지 약 4,000 kW의 RF 전력을 제공하는 단계를 포함한다.
챔버 하드웨어
[0075] 본 개시내용의 방법들은 전자 디바이스들의 제조에서의 기판 프로세싱에서 활용되는 기판 프로세스 챔버에서 수행될 수 있다. 기판 프로세싱은 증착 프로세스들, 에칭 프로세스들뿐만 아니라, 기판들 상에 전자 디바이스들을 제조하는 데 사용되는 다른 저압 프로세스들, 플라즈마 프로세스들, 및 열 프로세스들을 포함한다.
[0076] 도 2는 시즈닝 프로세스를 수행하기에 적절한 예시적인 프로세스 챔버(200)의 개략적인 측단면도이다. 일 실시예에서, 프로세스 챔버(200)는 하드마스크 막들, 예컨대 비정질 탄소 하드마스크 막들과 같은 진보된 패터닝 막들을 기판 상에 증착하도록 구성될 수 있다. 프로세스 챔버(200)는 덮개(300), 챔버 바디(292) 상에 배치된 스페이서(210), 기판 지지부(215), 및 가변 압력 시스템(220)을 포함한다. 덮개(300)와 기판 지지부(215) 사이의 스페이서(210) 내부에 프로세싱 볼륨(260)이 존재한다.
[0077] 덮개(300)는 제1 프로세스 가스 소스(240)에 커플링된다. 제1 프로세스 가스 소스(240)는 기판 지지부(215) 상에 지지된 기판(218) 상에 막들을 형성하기 위한 전구체 가스와 같은 프로세스 가스를 함유할 수 있다. 일 예로서, 전구체 가스는 탄소-함유 가스를 포함할 수 있다. 일 예로서, 전구체 가스는 불활성 가스를 포함할 수 있다. 일 예로서, 전구체 가스는 헬륨을 포함할 수 있다. 일 예로서, 전구체 가스는 하나 이상의 다른 가스들을 포함할 수 있다. 일 예로서, 전구체 가스는 가스들의 조합을 포함할 수 있다. 일부 실시예들에서, 전구체 가스는 아세틸렌(C2H2)을 포함한다.
[0078] 제2 프로세스 가스 소스(242)가 스페이서(210)를 통해 배치된 유입구(244)를 통해 프로세싱 볼륨(260)에 유체 커플링된다. 일 예로서, 제2 프로세스 가스 소스(242)는 전구체 가스와 같은 프로세스 가스를 함유할 수 있다. 일 예로서, 전구체 가스는 탄소-함유 가스를 포함할 수 있다. 일 예로서, 전구체 가스는 불활성 가스를 포함할 수 있다. 일 예로서, 전구체 가스는 헬륨을 포함할 수 있다. 일 예로서, 전구체 가스는 하나 이상의 다른 가스들을 포함할 수 있다. 일 예로서, 전구체 가스는 가스들의 조합을 포함할 수 있다. 일부 실시예들에서, 전구체 가스는 C2H2를 포함한다.
[0079] 일부 실시예들에서, 기판, 이를테면, 웨이퍼 상의 증착을 위해, 프로세싱 볼륨(260) 내로의 전구체 가스의 총 유량은 약 200 sccm 내지 약 2 slm일 수 있다. 일부 실시예들에서, 제2 프로세싱 가스 소스(242)로부터 프로세싱 볼륨(160) 내로의 전구체 가스의 유량은, 제1 프로세싱 가스 소스(240)로부터 프로세싱 볼륨(260)으로의 전구체 가스의 유량을 조절할 수 있고, 그에 따라, 결합된 전구체 가스가 프로세싱 볼륨(260)에 균일하게 분산된다. 복수의 유입구들(244)이 스페이서(210) 주위에 원주방향으로 분포된다. 일 예에서, 유입구들(244) 각각으로의 가스 유동은 프로세싱 볼륨(260) 내에서의 전구체 가스의 균일한 분배를 추가로 용이하게 하기 위해 개별적으로 제어될 수 있다.
[0080] 덮개(300)는 플레이트(302)를 포함한다. 플레이트(302)는 라이저(riser)(205)를 통해 스페이서(210)에 커플링되지만, 라이저(205)는 생략될 수 있고, 플레이트(302)가 스페이서(210)에 직접 커플링될 수 있다는 것이 고려된다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 라이저(205)는 플레이트(302)와 통합될 수 있다. 덮개(300)는 열 교환기(224)를 포함한다. 열 교환기(224)는 플레이트(302)에 부착될 수 있거나 또는 플레이트(302)와 통합될 수 있다. 열 교환기(224)는 유입구(226) 및 배출구(228)를 포함한다. 열 교환기(224)가 플레이트(302)와 통합되는 실시예들에서, 열 교환 유체들은 유입구(226)로부터 플레이트(302)에 형성된 채널들(230)을 통해, 그리고 유출구(228) 밖으로 유동할 수 있다.
[0081] 플레이트(302)는 매니폴드(manifold)(246)에 커플링되거나 또는 매니폴드(246)와 통합된다. 플레이트(302)는, 도관(250)을 통한 플라즈마의 유동을 가능하게 하기 위해, 축방향 관통 보어(axial throughbore)(252)를 갖는 도관(250), 이를테면, 혼합 앰풀에 의해 원격 플라즈마 소스(262)에 커플링된다. 도관(250)이 매니폴드(246)에 커플링된 것으로 예시되지만, 매니폴드(246)는 도관(250)과 통합될 수 있어서, 도관(250)이 플레이트(302)에 직접 커플링될 수 있다는 것이 고려된다. 매니폴드(246)는 제1 프로세스 가스 소스(240) 및 퍼지 가스 소스(256)에 커플링된다. 제1 프로세스 가스 소스(240) 및 퍼지 가스 소스(256) 둘 모두는 밸브들(미도시)에 의해 매니폴드(246)에 커플링될 수 있다.
[0082] 덮개(300)가 원격 플라즈마 소스(262)에 커플링될 수 있지만, 일부 실시예들에서, 원격 플라즈마 소스(262)는 생략될 수 있다. 존재하는 경우, 원격 플라즈마 소스(262)는 프로세싱 볼륨(260)에 세정 가스를 제공하기 위해 공급 라인을 통해 세정 가스 소스(266)에 커플링될 수 있다. 원격 플라즈마 소스(262)가 없는 경우, 세정 가스 소스(266)는 도관(250)에 직접 커플링될 수 있다. 원격 플라즈마 소스(262)가 없는 경우, 세정 가스 소스(266)는 도관(250)에 간접 커플링될 수 있다. 세정 가스는 도관(250)을 통해 제공될 수 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 또한 전구체 가스를 프로세싱 볼륨(260) 내로 전달하는 채널을 통해 세정 가스가 제공된다. 일 예로서, 세정 가스는 분자 산소(O2) 및/또는 오존(O3)과 같은 산소 함유 가스를 포함할 수 있다. 예로서, 세정 가스는 NF3와 같은 불소-함유 가스를 포함할 수 있다. 예로서, 세정 가스는 하나 이상의 다른 가스들을 포함할 수 있다. 예로서, 세정 가스는 가스들의 조합을 포함할 수 있다.
[0083] 기판 지지부(215)는 RF 전력 소스(270)에 커플링된다. RF 전력 소스(270)는 저 주파수 RF 전력 소스(예컨대, 약 2 MHz 내지 약 13.56 MHz)일 수 있다. 다른 주파수들이 또한 고려된다는 것이 주목되어야 한다. 일부 구현들에서, RF 전력원(270)은 고 주파수 및 저 주파수 전력 둘 모두를 제공하는 혼합 주파수 RF 전력원이다. 이중 주파수 RF 전력원의 활용은 막 증착을 개선한다. 일 예에서, RF 전력원(270)을 활용하는 것은 이중 주파수 전력들을 제공한다. 약 2 MHz 내지 약 13.56 MHz의 제1 주파수는 증착된 막 내로의 화학 종의 주입을 개선하는 한편, 약 13.56 MHz 내지 약 120 MHz의 제2 주파수는 막의 이온화 및 증착 레이트를 증가시킨다.
[0084] RF 전력원(270)은 프로세싱 볼륨(260) 내에 플라즈마를 생성 또는 유지하는 데 활용될 수 있다. 예컨대, RF 전력원(270)은 증착 프로세스 동안 활용될 수 있다. 증착 또는 에칭 프로세스 동안, RF 전력원(270)은, 전구체 가스의 이온화를 가능하게 하기 위해, 프로세싱 볼륨(260) 내에 약 100 와트(W) 내지 약 20,000 W의 전력을 제공한다. 본원에서 설명되는 다른 실시예들과 조합될 수 있는 일 실시예에서, RF 전력원(270)은 펄싱된다. 본원에서 설명되는 다른 실시예들과 조합될 수 있는 다른 실시예에서, 증착을 위한 전구체 가스는 헬륨 및 아세틸렌을 포함한다. 본원에서 설명되는 다른 실시예들과 조합될 수 있는 일 실시예에서, 증착을 위해, 아세틸렌은 약 10 sccm 내지 약 1,000 sccm의 유량으로 제공되고, 헬륨은 약 50 sccm 내지 약 10,000 sccm의 유량으로 제공된다.
[0085] 기판 지지부(215)는 이의 Z 방향으로의 이동을 제공하는 액추에이터(272)(즉, 리프트 액추에이터)에 커플링된다. 기판 지지부(215)는 또한, 제2 RF 전력원(270)뿐만 아니라 다른 전력 및 유체 연결부들과의 통신을 유지하면서 기판 지지부(215)의 수직 이동을 허용하는 가요성인 설비 케이블(278)에 커플링된다. 스페이서(210)는 챔버 바디(292) 상에 배치된다. 스페이서(210)의 높이는 프로세싱 볼륨(260) 내에서의 수직으로 기판 지지부(215)의 이동을 가능하게 한다. 프로세스 볼륨(260)은 챔버의 내경을 정의한다. 일부 실시예들에서, 챔버의 내경은 약 10 인치 내지 약 25 인치, 이를테면 약 15 인치 내지 약 20 인치이다. 스페이서(210)의 높이는 약 0.5 인치 내지 약 20 인치, 이를테면 약 3 인치 내지 약 20 인치, 이를테면 약 5 인치 내지 약 15 인치, 이를테면 약 7 인치 내지 약 10 인치일 수 있다. 일 예에서, 기판 지지부(215)는 덮개(300)에 대해(예컨대, 플레이트(302)의 기준선(datum)(280)에 대해) 제1 거리(274)로부터 제2 거리(276)까지 이동 가능하다. 다른 실시예들과 조합될 수 있는 일 실시예에서, 제2 거리(276)는 제1 거리(274)의 약 2/3이다. 예컨대, 제1 거리(274)와 제2 거리 사이의 차이는 약 5 인치 내지 약 6 인치일 수 있다. 따라서, 도 1에 도시된 포지션으로부터, 기판 지지부(215)는 플레이트(302)의 기준선(280)에 대해 약 5 인치 내지 약 6 인치만큼 이동가능하다. 다른 예에서, 기판 지지부(215)는 제1 거리(274) 및 제2 거리(276) 중 하나에서 고정된다.
[0086] 종래의 플라즈마 강화 화학 기상 증착(PECVD) 프로세스들과 대조적으로, 스페이서(210)는 기판 지지부(215)와 덮개(300) 사이의 거리(및 그에 따른, 기판 지지부(215)와 덮개(300) 사이의 볼륨)를 크게 증가시킨다. 기판 지지부(215)와 덮개(300) 사이의 증가된 거리는 프로세스 볼륨(260) 내의 이온화된 종의 충돌들을 감소시켜, 더 적은 고유 응력으로 막이 증착되게 한다. 더 적은 고유 응력으로 증착된 막들은 막이 형성되는 기판들의 개선된 평면성(예컨대, 더 적은 휨)을 가능하게 한다. 기판들의 휨의 감소는 다운스트림 패터닝 동작들의 정밀도를 개선시킨다.
[0087] 가변 압력 시스템(220)은 제1 펌프(282) 및 제2 펌프(284)를 포함한다. 제1 펌프(282)는 세정 프로세스 및/또는 기판 이송 프로세스 동안 활용될 수 있는 러핑 펌프(roughing pump)이다. 러핑 펌프는 일반적으로, 더 높은 체적 유량들을 이동시키고 그리고/또는 (여전히 대기압보다 낮지만) 비교적 더 높은 압력을 동작시키도록 구성된다. 일 예에서, 제1 펌프(282)는 세정 프로세스 동안 프로세스 챔버(200) 내의 압력을 50 mTorr 미만으로 유지한다. 다른 예에서, 제1 펌프(282)는 약 0.5 mTorr 내지 약 10 Torr의 프로세스 챔버(200) 내의 압력을 유지한다. 세정 동작들 동안의 러핑 펌프의 활용은 (증착 동작과 비교하여) 세정 가스의 비교적 더 높은 압력들 및/또는 체적 유동을 가능하게 한다. 세정 동작 동안의 비교적 더 높은 압력 및/또는 체적 유동은 챔버 표면들의 세정을 개선한다.
[0088] 제2 펌프(284)는 터보 펌프 또는 극저온 펌프일 수 있다. 제2 펌프(284)는 증착 프로세스 동안 활용된다. 제2 펌프(284)는 일반적으로, 비교적 더 낮은 체적 유량 및/또는 압력을 동작시키도록 구성된다. 예컨대, 제2 펌프(284)는 프로세스 챔버의 프로세싱 볼륨(260)을 약 50 mTorr 미만의 압력으로 유지하도록 구성된다. 다른 예에서, 제2 펌프(284)는 약 0.5 mTorr 내지 약 10 Torr의 프로세스 챔버 내의 압력을 유지한다. 증착 동안 유지되는 프로세싱 볼륨(260)의 감소된 압력은, 탄소계 하드마스크들을 증착할 때, 감소된 중립 응력 및/또는 증가된 sp2-sp3 변환을 갖는 막의 증착을 가능하게 한다. 따라서, 프로세스 챔버(200)는, 증착 또는 시즈닝을 개선하기 위해 비교적 더 낮은 압력 및 세정을 개선하기 위해 비교적 더 높은 압력 둘 모두를 활용하도록 구성된다.
[0089] 본원에서 설명되는 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 제1 펌프(282) 및 제2 펌프(284) 둘 모두는 증착 프로세스 동안 프로세스 챔버의 프로세싱 볼륨(260)을 약 50 mTorr 미만의 압력으로 유지하기 위해 활용된다. 다른 실시예들에서, 제1 펌프(282) 및 제2 펌프(284)는 프로세싱 볼륨(260)을 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지한다. 제1 펌프(282) 및 제2 펌프(284) 중 하나 또는 둘 모두에 대한 컨덕턴스 경로를 제어하기 위해 밸브(286)가 활용된다. 밸브(286)는 또한, 프로세싱 볼륨(260)으로부터 대칭적인 펌핑을 제공한다.
[0090] 프로세스 챔버(200)는 또한, 기판 이송 포트(285)를 포함한다. 기판 이송 포트(285)는 내부 도어(290) 및/또는 외부 도어(291)에 의해 선택적으로 밀봉된다. 도어들(290 및 291) 각각은 액추에이터들(288)(즉, 도어 액추에이터)에 커플링된다. 도어들(290 및 291)은 프로세싱 볼륨(260)의 진공 밀봉을 가능하게 한다. 도어들(290 및 291)은 또한, 프로세싱 볼륨(260) 내에서 대칭적인 RF 인가 및/또는 플라즈마 대칭성을 제공한다. 일 예에서, 적어도 내부 도어(290)는 RF 전력의 컨덕턴스를 가능하게 하는 재료, 이를테면 스테인리스 강, 알루미늄 또는 이들의 합금들로 형성된다. 스페이서(210)와 챔버 바디(292)의 계면에 배치된 밀봉부들(293), 이를테면, O-링들이 프로세싱 볼륨(260)을 추가로 밀봉한다. 제어기(294)는 프로세싱 동안 프로세스 챔버(200)의 양상들을 제어하도록 구성된다.
[0091] 제어기(294)는 프로세서 기반 시스템 제어기일 수 있다. 예컨대, 제어기(294)는 프로세스 챔버(200) 부품들 및 프로세싱 파라미터들을 제어하도록 구성될 수 있다. 제어기(294)는 프로세스들의 제어를 가능하게 하기 위해 프로세스 챔버(200)의 다양한 컴포넌트들에 커플링되는, 메모리, 및 대용량 저장 디바이스, 입력 제어 유닛, 디스플레이 유닛, 전력 공급부들, 클록(clock)들, 캐시, 입력/출력(I/O) 회로들 등과 함께 동작가능한 프로그래밍가능 중앙 프로세싱 유닛(CPU)을 포함할 수 있다.
[0092] 도 3a는 일부 실시예들의 덮개(300)의 부분 단면도이다. 도 3a에 도시된 바와 같이, 배플(baffle)(258)은 생략될 수 있다. 덮개(300)는 플레이트(302)를 포함한다. 플레이트(302)는 제1 표면(304), 및 제1 표면(304)에 대향하는 제2 표면(306)을 갖는다. 일부 실시예들에서, 플레이트(302)의 제2 표면(306)은 형상화되거나 또는 윤곽을 가질 수 있다. 플레이트(302)는 제1 표면(304)에 리세스(308)를 가질 수 있다. 리세스(308)는 개구(310), 및 개구(310)로부터 플레이트(302) 내의 바닥(316)까지 연장되는 측벽(312)을 갖는다. 일부 실시예들에서, 개구(310)는 원을 정의한다. 다음의 기하학적 설명의 목적을 위해, 플레이트(302)는, 제1 표면(304)에 일반적으로 수직이고 이에 따라 제1 표면(304)과 제2 표면(306) 사이에서 연장되는 축(314)을 가질 수 있다.
[0093] 도 3a에 도시된 바와 같이, 측벽(312)은 개구(310)로부터 축(314)에 평행한 기준선 라인(314')까지 예각(318)으로 바닥(316)까지 연장된다. 따라서, 측벽(312)은 개구(310)로부터 축(314)에 대해 예각(318)으로 바닥(316)까지 연장된다. 그러나, 측벽(312)은 개구(310)로부터 축(314)에 실질적으로 평행하게 바닥(316)까지 연장될 수 있는 것으로 고려된다. 예각(318)은 0 내지 80도, 이를테면 0 내지 70도, 이를테면 0 내지 60도, 이를테면 0 내지 50도, 이를테면 0 내지 40도, 이를테면, 0 내지 30도, 이를테면, 0 내지 20도, 이를테면 0 내지 10도일 수 있다. 일부 실시예들에서, 도 3a에 도시된 바와 같이, 측벽(312)은, 개구(310)의 단면적이 바닥(316)의 단면적보다 더 작아서 절두 원추형 형상을 정의하도록, 개구(310)로부터 바닥(316)으로 연장될 수 있다.
[0094] 홀들(322)의 어레이(320)는 리세스(308)로부터 플레이트(302)를 통해 제2 표면(306)으로 연장된다. 각각의 홀(322)은 리세스(308)의 대응하는 입구(324)로부터 제2 표면(306)의 대응하는 출구(326)까지 연장된다. 각각의 입구(324)는 리세스(308)의 바닥(316)에 위치된다. 그러나, 각각의 입구(324)가 리세스(308)의 측벽(312)에 위치될 수 있거나, 또는 각각의 입구(324)가 리세스(308)의 바닥(316)과 측벽(312)의 교차점에 위치될 수 있다는 것이 고려된다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 어레이(320)의 하나 이상의 홀(322)의 입구(324)는 바닥(316), 측벽(312), 또는 바닥(316)과 측벽(312)의 교차점 중 하나에 위치될 수 있거나, 또는 홀들(322)의 어레이(320)의 하나 이상의 다른 홀(322)의 입구(324)는 바닥(316), 측벽(312), 또는 바닥(316)과 측벽(312)의 교차점 중 다른 하나에 위치될 수 있다. 다시 말해서, 어레이(320)는 입구(324)를 각각 갖는 복수의 홀들(322)을 가지며, 여기서 입구들(324)은 바닥(316), 측벽(312), 또는 바닥(316)과 측벽(312)의 교차점 중 하나에 독립적으로 위치된다.
[0095] 각각의 홀(322)은 축(314)에 대해 예각(328)으로 플레이트(302)를 통해 연장되는 궤적을 갖는다. 예각(328)은 0 내지 80도, 이를테면 0 내지 70도, 이를테면 0 내지 60도, 이를테면 0 내지 50도, 이를테면 0 내지 40도, 이를테면 0 내지 30, 이를테면, 0 내지 20도, 이를테면 0 내지 10도일 수 있다. 그러나, 적어도 하나의 홀(322)이 축(314)에 평행한 궤적을 가질 수 있다는 것이 고려된다. 각각의 홀(322)이 축(314)에 평행한 궤적을 가질 수 있다는 것이 추가로 고려된다.
[0096] 도 3a에 도시된 바와 같이, 제2 표면(306)은 돌출부(330)를 포함한다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 각각의 출구(326)는 돌출부(330)에 위치될 수 있다. 그러나, 다른 구성들이 고려된다. 예컨대, 각각의 출구(326)는 돌출부(330)에 위치되지 않을 수 있거나, 또는 각각의 출구(326)는 돌출부(330)의 베이스(base)에 위치될 수 있다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 어레이(320)의 하나 이상의 홀(322)의 출구(326)는 돌출부(330), 돌출부(330)의 베이스, 또는 돌출부(330)로부터 떨어져 있는 제2 표면(306)의 일부 중 하나에 위치될 수 있으며, 홀들(322)의 어레이(320)의 하나 이상의 다른 홀(322)의 출구(326)는 돌출부(330), 돌출부(330)의 베이스, 또는 돌출부(330)부터 떨어져 있는 제2 표면(306)의 일부 중 다른 하나에 위치될 수 있다. 즉, 어레이(320)는 출구(326)를 각각 갖는 복수의 홀들(322)을 가지며, 여기서 각각의 출구(326)는 독립적으로 돌출부(330), 돌출부(330)의 베이스, 또는 돌출부(330)로부터 떨어져 있는 제2 표면(306)의 일부에 위치된다.
[0097] 돌출부(330)는 형상이 측면(332) 및 단부면(334)을 갖는 절두 원추형이지만, 다른 구성들이 고려된다. 일부 실시예들에서, 돌출부(330)는 구, 타원체, 또는 원통의 일부와 같이 형상화될 수 있다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 각각의 출구(326)는 측면(332)에 위치될 수 있거나, 또는 각각의 출구(326)는 단부면(334)에 위치될 수 있거나, 또는 각각의 출구(326)는 측면(332)과 단부면(334)의 교차점에 위치될 수 있다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 어레이(320)의 하나 이상의 홀(322)의 출구(326)는 측면(332), 단부면(334), 또는 측면(322)와 단부면(334)의 교차점 중 하나에 위치될 수 있으며, 홀들(322)의 어레이(320)의 하나 이상의 다른 홀(322)의 출구(326)는 측면(332), 단부면(334) 및 측면(332)과 단부면(334)의 교차점 중 다른 하나에 위치될 수 있다. 다시 말해, 어레이(320)는 측면(332), 단부면(334), 또는 측면(332)과 단부면(334)의 교차점 중 하나에 독립적으로 위치되는 출구(326)를 각각 갖는 복수의 홀들(322)을 갖는다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 출구(326)가 측면(332)에 위치된 각각의 홀(322)의 궤적이 측면(332)과 교차하는 각도(336)는 실질적으로 90도일 수 있다.
[0098] 플레이트(302)는 중앙에 위치된 샤워헤드(340)를 포함하며, 샤워헤드(340)는 돌출부(330)(존재하는 경우) 및 홀들(322)의 어레이(320)를 포함한다. 도 3a에 도시된 바와 같이, 샤워헤드(340)는 플레이트(302)와 일체형이다. 그러나, 샤워헤드(340)는 플레이트(302)에 영구적으로 부착될 수 있거나 또는 플레이트(302)에 제거가능하게 부착될 수 있는 것으로 고려된다. 특히, 샤워헤드(340)가 플레이트(302)와 일체형인 실시예들에서, 샤워헤드(340) 및 플레이트(302)의 어레인지먼트는 (플레이트(302), 라이저(205)(존재하는 경우), 및 스페이서(210)를 포함하는) 프로세싱 볼륨(260)의 전체 인클로저(enclosure)가 사용 동안 완전히 접지되는 것을 가능하게 하여, 기생 플라즈마의 생성을 억제할 수 있다.
[0099] 홀들(322)의 어레이(320)는 홀들(322)의 단일 링으로서 또는 홀들(322)의 다수의 링들로 배열될 수 있다. 홀들(322)의 어레이(320)의 홀들(322)은 링에서 실질적으로 균일한 간격으로 배열될 수 있다. 홀들(322)의 어레이(320)의 홀들(322)은 링으로 불균일한 간격으로 배열될 수 있다. 홀들(322)의 다수의 링들을 활용하는 경우, 홀들(322)의 다수의 링들은 동심이거나, 비-동심일 수 있거나, 또는 클러스터(cluster)들로서 배열될 수 있다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 다수의 링들 중 일부 링들은 동심, 비-동심, 및 클러스터형 중 하나로서 배열될 수 있고, 홀들(322)의 다수의 링들 중 다른 링들은 동심, 비-동심, 및 클러스터형 중 다른 하나로서 배열될 수 있다.
[00100] 홀들(322)의 다른 어레인지먼트들이 또한 고려된다. 예를 들어, 홀들(322)의 어레이(320)의 홀들(322) 중 적어도 일부는 다른 기하학적 패턴들, 이를테면, 선, 삼각형, 사변형, 오각형, 육각형 등으로 배열될 수 있다. 부가적으로 또는 대안적으로, 홀들(322)의 어레이(320)의 적어도 일부 홀들(322)은 규칙적인 패턴, 이를테면 인접한 홀들(322)의 쌍들 사이에 하나 이상의 균일한 간격 치수를 표시하는 패턴을 정의하는 홀들(322)의 클러스터로서 배열될 수 있다. 부가적으로 또는 대안적으로, 홀들(322)의 어레이(320)의 적어도 일부 홀들(322)은 불규칙한 패턴, 이를테면 인접한 홀들(322)의 쌍들 사이의 불균일한 간격 치수들을 표시하는 패턴을 정의하는 홀들(322)의 클러스터로서 배열될 수 있다.
[00101] 도 3b에 도시된 바와 같이, 홀들(322)의 어레이(320)는 홀들(322)의 2개의 링들, 즉, 제1 링(342) 및 제2 링(348)으로서 배열된다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 어레이(320)의 각각의 홀(322)의 궤적의 각도(328)는 실질적으로 동일할 수 있는데, 예컨대 서로 1도 이내일 수 있다. 그러나, 홀들(322)의 어레이(320)의 일부 홀들(322)의 궤적의 각도(328)는 홀들(322)의 어레이(320)의 다른 홀들(322)의 궤적의 각도(328)와 상이할 수 있다는 것이 고려된다.
[00102] 홀들(322)의 어레이(320)의 각각의 홀(322)의 직경은, 표준 제조 허용오차들에 의해 결정되는 바와 같이, 각각의 다른 홀(322)의 직경과 실질적으로 동일할 수 있다. 그러나, 홀들(322)의 어레이(320)의 일부 홀들(322)의 직경이 홀들(322)의 어레이(320)의 다른 홀들(322)의 직경과 상이할 수 있다는 것이 고려된다. 예를 들어, 제1 직경을 갖는 홀들(322)은 제1 클러스터 또는 기하학적 형상 또는 패턴으로 배열될 수 있고, 제1 직경과 상이한 제2 직경을 갖는 홀들(322)은 제2 클러스터 또는 기하학적 형상 또는 패턴으로 배열될 수 있다. 이러한 예들에서, 제1 클러스터 또는 기하학적 형상 또는 패턴은 제2 클러스터 또는 기하학적 형상 또는 패턴의 크기, 형상 및/또는 패턴과 유사한 크기, 형상 및/또는 패턴을 가질 수 있다. 부가적으로 또는 대안적으로, 제1 클러스터 또는 기하학적 형상 또는 패턴은 제2 클러스터 또는 기하학적 형상 또는 패턴의 크기, 형상 및/또는 패턴과 상이한 크기, 형상 및/또는 패턴을 가질 수 있다.
[00103] 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 어레이(320)의 각각의 홀(322)의 직경은 실질적으로 균일할 수 있다. 일부 실시예들에서, 홀들(322)의 어레이(320)의 각각의 홀(322)의 직경은 실질적으로 불균일할 수 있다. 예컨대, 각각의 홀(322)의 직경은 각각의 입구(324)에서의 더 큰 직경으로부터 각각의 출구(326)에서의 더 작은 직경으로 테이퍼링될 수 있다. 대안적으로, 각각의 홀(322)의 직경은 각각의 입구(324)에서의 더 작은 직경으로부터 각각의 출구(326)에서의 더 큰 직경으로 테이퍼링될 수 있다. 대안적으로, 각각의 홀(322)의 직경은 각각의 홀(322)의 길이의 일부를 따라 균일할 수 있고, 입구(324)에서의 각각의 홀(322)의 직경이 출구(326)에서의 각각의 홀(322)의 직경보다 더 크거나 또는 더 작을 수 있도록 상이한 직경으로 전환될 수 있다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 어레이(320)의 일부 홀들(322)의 직경은 실질적으로 균일할 수 있고, 홀들(322)의 어레이(320)의 다른 홀들(322)의 직경은 실질적으로 불균일할 수 있다.
[00104] 홀들(322)의 어레이(320)의 각각의 홀(322)의 사이징(sizing)은 홀(322) 길이, 홀(322) 직경, 홀(322) 길이를 따른 홀(322) 직경의 변동, 또는 각각의 홀(322)의 궤적 중 임의의 하나 이상을 결정함으로써 선택될 수 있다. 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 어레이(320)의 홀들(322)의 수 및/또는 사이징은 하나 이상의 미리 결정된 동작 파라미터들 또는 제약들에 따라 선택될 수 있다. 예를 들어, 홀들(322)의 어레이(320)의 홀들(322)의 수 및/또는 사이징은 하나 이상의 미리 결정된 동작 파라미터들 또는 제약들의 하나 이상의 범위들의 값들에 따라 선택될 수 있다. 예시적인 동작 파라미터들 및 제약들은 비제한적으로, 동작 동안 생성된 플라즈마의 시스 두께(sheath thickness), 각각의 홀(322)의 입구(324)에서의 가스의 압력, 각각의 홀(322)의 출구(326)에서의 가스의 압력, 각각의 홀(322)을 통한 가스의 평균 속도, 각각의 홀(322)의 입구(324)에서의 각각의 홀(322) 내의 가스의 속도, 각각의 홀(322)의 출구(326)에서의 각각의 홀(322) 내의 가스의 속도, 홀들(322)을 통한 가스의 총 체적 유량, 홀들(322)의 어레이(320)의 홀들(322)의 그룹을 통한 가스의 총 체적 유량 등 중 임의의 하나 이상을 포함할 수 있다.
[00105] 홀들(322)의 수 및/또는 홀들(322)의 어레이(320)의 홀들(322)의 사이징은, 약 0.01 Torr 내지 약 10 Torr, 이를테면 약 0.01 Torr 내지 약 5 Torr, 이를테면 약 0.01 Torr 내지 약 3 Torr, 이를테면 약 0.1 Torr 내지 약 3 Torr, 이를테면 약 1 Torr 내지 약 3 Torr인, 각각의 홀(322)의 입구(324)에서의 가스 압력에 따라 선택될 수 있다.
[00106] 홀들(322)의 수 및/또는 홀들(322)의 어레이(320)의 홀들(322)의 사이징은, 약 1 mTorr 내지 약 1 Torr, 이를테면 약 1 mTorr 내지 약 0.5 Torr, 이를테면 약 1 mTorr 내지 약 0.1 Torr, 이를테면 약 1 mTorr 내지 약 50 mTorr, 이를테면 약 1 mTorr 내지 약 20 mTorr인, 각각의 홀(322)의 출구(326)에서의 가스의 압력에 따라 선택될 수 있다.
[00107] 홀들(322)의 어레이(320)의 홀들(322)의 수는 하나 이상의 미리 결정된 동작 파라미터들 또는 제약들에 따라 선택될 수 있고, 홀들(322)의 사이징은 하나 이상의 다른 미리 결정된 동작 파라미터들 또는 제약들에 따라 선택될 수 있다는 것이 추가로 고려된다. 예컨대, 각각의 홀(322)의 직경은, 동작 동안 생성된 플라즈마의 시스 두께, 각각의 홀(322)의 입구(324)에서의 가스의 압력, 각각의 홀(322)의 출구(326)에서의 가스의 압력, 각각의 홀(322)을 통과하는 가스의 평균 속도, 각각의 홀(322)의 입구(324)에서의 각각의 홀(322) 내의 가스의 속도, 각각의 홀(322)의 출구(326)에서의 각각의 홀(322) 내의 가스의 속도 등 중 임의의 하나 이상에 따라 선택될 수 있으며; 그리고 홀들(322)의 어레이(320)의 홀들(322)의 수는, 각각의 홀(322)의 입구(324)에서의 가스의 압력, 각각의 홀(322)의 출구(326)에서의 가스의 압력, 각각의 홀(322)을 통한 가스의 평균 속도, 각각의 홀(322)의 입구(324)에서의 각각의 홀(322) 내의 가스의 속도, 각각의 홀(322)의 출구(326)에서의 각각의 홀(322) 내의 가스의 속도, 홀들(322)을 통한 가스의 총 총 체적 유량, 홀들(322)의 어레이(320)의 홀들(322)의 그룹을 통한 가스의 총 체적 유량 등 중 다른 임의의 하나 이상에 따라 선택될 수 있다.
[00108] 다른 실시예들과 조합될 수 있는 일부 실시예들에서, 홀들(322)의 어레이(320)의 각각의 홀(322)은 동작 동안 생성된 플라즈마의 시스 두께의 5배 이하, 이를테면 동작 동안 생성된 플라즈마의 시스 두께의 4배 이하, 이를테면, 동작 동안 생성된 플라즈마의 시스 두께의 3배 이하, 이를테면 동작 동안 생성된 플라즈마의 시스 두께의 2배 이하, 이를테면, 동작 동안 생성된 플라즈마의 시스 두께 이하의 직경을 갖도록 사이징될 수 있다.
[00109] 홀들(322)의 어레이(320)의 홀들(322)의 수 및/또는 직경은, 각각의 홀(322)의 출구(326)에서의 각각의 홀(322) 내의 가스의 속도가 마하 1 미만이지만 마하 0.5 이상이도록 선택될 수 있다는 것이 추가로 고려된다. 홀들(322)의 어레이(320)의 홀들(322)의 수 및/또는 직경은, 각각의 홀(322)의 출구(326)에서의 각각의 홀(322) 내의 가스의 속도가 실질적으로 마하 1과 동일하도록 선택될 수 있다는 것이 추가로 고려된다. 홀들(322)의 어레이(320)의 홀들(322)의 수 및/또는 직경은, 각각의 홀(322)의 출구(326)에 있는 각각의 홀(322) 내의 가스 속도가 마하 1 초과지만 마하 2 이하이도록 선택될 수 있다는 것이 추가로 고려된다.
[00110] 홀들(322)의 어레이(320)의 홀들(322)의 수 및/또는 직경은, 각각의 홀(322)의 입구(324)에서의 각각의 홀(322) 내의 가스의 속도가 마하 1 미만이 되도록 선택될 수 있다는 것이 추가로 고려된다. 홀들(322)의 어레이(320)의 홀들(322)의 수 및/또는 직경은, 각각의 홀(322)의 입구(324)에서의 각각의 홀(322) 내의 가스의 속도가 실질적으로 마하 1과 동일하도록 선택될 수 있다는 것이 추가로 고려된다. 홀들(322)의 어레이(320)의 홀들(322)의 수 및/또는 직경은, 각각의 홀(322)의 입구(324)에서의 각각의 홀(322) 내의 가스 속도가 마하 1 초과지만 마하 2 이하이도록 선택될 수 있다는 것이 추가로 고려된다.
[00111] 도 3a에 도시된 바와 같이, 조립체의 일부는 덮개(300)의 플레이트(302)를 포함한다. 도관(250)은 플레이트(302)의 제1 표면(304)에 부착된다. 도관(250)은 실질적으로 리세스(308)에 맞추어진 관통 보어(252)를 갖는다. 도관(250)은 하나 이상의 파스너들(358)에 의해 플레이트(302)의 제1 표면(304)에 부착된다. 예시된 바와 같이, 플레이트(302)의 제1 표면(304)은 볼트들, 스크루들, 스터드(stud)들, 다월 핀(dowel pin)들 등과 같은 대응하는 파스너들(358)을 수용하기 위한 하나 이상의 개구들(362)을 포함한다. 부가적으로 또는 대안적으로, 제1 표면(304)은 플레이트(302)를 도관(250)에 연결하기 위한 하나 이상의 돌출부들을 포함할 수 있고, 돌출부들은 스레딩될(threaded) 수 있는 것으로 고려된다. 플레이트(302)의 제1 표면(304)의 밀봉 그루브(seal groove)(364)가 하나 이상의 파스너들(358)과 리세스(308) 사이에 위치되고, 그리고 개구(310)를 리세스(308)로 둘러싼다. 다수의 파스너들(358)이 활용되는 경우, 파스너들(358)은 밀봉 그루브(364)를 둘러싸는 것이 고려된다. 도 3a에 도시된 바와 같이, O-링과 같은 밀봉 부재(366)가 밀봉 그루브(364)에 설치되어, 플레이트(302)와 도관(250) 사이의 계면을 밀봉한다. 밀봉 부재(366)는 도관(250)의 일부 또는 도관(250)과 연관된 플랜지 또는 다른 구조, 이를테면 매니폴드(246)와 접촉할 수 있다는 것이 고려된다.
[00112] 도관(250)은 원격 플라즈마 소스(262)에 커플링된 것으로 도시되며, 원격 플라즈마 소스(262)의 일부가 도 3a에 도시된다. 관통 보어(252)는 실질적으로 원격 플라즈마 소스(262)의 배출구(368)에 맞추어질 수 있다. 도 3a의 예에 도시된 바와 같이, 관통 보어(252)가 원격 플라즈마 소스(262)의 배출구(368)로부터 플레이트(302)의 제1 표면(304)까지 도관(250)의 길이를 따라 실질적으로 균일한 내경을 가질 수 있지만, 관통 보어(252)는 원격 플라즈마 소스(262)의 배출구(368)로부터 플레이트(302)의 제1 표면(304)까지의 도관(250)의 길이를 따라 중간에 제한부(370)를 포함할 수 있다는 것이 고려된다.
[00113] 도 3a에 도시된 바와 같이, 도관(250)은 매니폴드(246)를 포함한다. 매니폴드(246)는 밸브(372)를 통해 제1 프로세스 가스 소스(240)에 커플링된다. 일부 실시예들에서, 매니폴드(246)는 도관(250) 내로의 프로세스 가스의 단일 진입점을 제공할 수 있지만, 매니폴드(246)가 도관(250) 내로의 프로세스 가스의 다수의 진입점들을 제공할 수 있다는 것이 고려된다. 일부 실시예들에서, 매니폴드(246)는 퍼지 가스 소스(256)에 커플링될 수 있지만, 매니폴드(246) 이외의 도관(250)의 위치에서 도관(250)이 퍼지 가스 소스(256)에 커플링될 수 있다는 것이 고려된다. 예컨대, 도관(250)은 도관(250)의 상부 단부에서의 또는 그 근처의 위치에서 퍼지 가스 소스(256)에 커플링될 수 있다. 도 3a에 도시된 바와 같이, 도관(250)은 열 교환기(374), 이를테면 열 교환 유체를 전달하도록 구성된 튜브를 포함한다. 그러나, 열 교환기(374)는 생략될 수 있는 것으로 고려된다.
[00114] 동작 시에, 퍼지 가스 소스(256)로부터의 퍼지 가스(불활성 가스(들))는 도관(250)에 진입하고, 제1 프로세스 가스 소스(240)로부터의 가스와 혼합된다. 결합된 가스들은 도관(250) 밖으로 그리고 플레이트(302)의 홀들(322)을 통해 프로세싱 볼륨(260) 내로 유동한다. 동작의 세정 사이클은, 도관(250)을 통해 그리고 플레이트(302)의 홀들(322)을 통해 프로세싱 볼륨(260) 내로 유동하는 세정 가스를 수반한다. 결합된 가스들이 플레이트(302)의 홀들(322)을 통해 프로세싱 볼륨(260) 내로 유동하기 전에, 세정 가스가 도관(250)에서 퍼지 가스와 혼합될 수 있다는 것이 고려된다. 결합된 플라즈마 및 가스가 플레이트(302) 내의 홀들(322)을 통해 프로세싱 볼륨(260) 내로 유동하기 전에, 원격 플라즈마 소스(262)로부터의 플라즈마가 도관(250)에 진입하여 도관(250) 내의 퍼지 가스와 혼합되는 것이 추가로 고려된다.
[00115] 본 개시내용의 실시예들은 프로세스 챔버(200)의 동작에 대한 다수의 이익들, 이를테면 특정 바람직하지 않은 효과들의 감소 또는 제거를 제공한다. 예시적인 바람직하지 않은 효과는, 프로세스 챔버(200)에 인가되는 RF가 덮개(300)의 업스트림에 있는 컴포넌트들을 통해 횡단(traverse)하기 위한 경로를 제공하는 플레이트(302)에 관한 것이다. 예컨대, RF는 도관(250), 원격 플라즈마 소스(262)를 통해, 그리고 세정 가스의 소스(266)로부터 원격 플라즈마 소스(262)로 이어지는 공급 라인으로 횡단할 수 있다. 이는 정상파 플라즈마의 설정으로 이어질 수 있고, 이로써 도관(250), 원격 플라즈마 소스(262), 및 공급 라인 내의 증착을 야기할 수 있다.
[00116] 본 개시내용의 실시예들에 의해 완화되는 다른 바람직하지 않은 효과는, 도관(250), 원격 플라즈마 소스(262), 및 공급 라인 내로의 라디칼들의 역 확산을 발생시키는, 낮은 가스 속도들 및 프로세싱 볼륨(260)의 낮은 동작 압력에 관한 것이다. 라디칼들의 그러한 역 확산은 도관(250), 원격 플라즈마 소스(262), 및 공급 라인 내의 증착을 야기하거나 또는 그에 기여할 수 있다.
[00117] 추가로, 위의 바람직하지 않은 효과들은 프로세싱 볼륨(260) 내부에서, 이를테면, 덮개(300), 스페이서(210) 상에, 그리고/또는 심지어 기판(218) 상에 그리고 기판(218) 상에 증착된 막들 상에 스트레이(stray) 증착들을 야기할 정도로 프로세스 챔버(200)의 동작에 영향을 미칠 수 있다. 그러한 스트레이 증착들은 기판(218)에서 그리고 기판(218) 상에 증착된 막들에서 결함들을 초래할 수 있다.
[00118] 특히, 샤워헤드(340)가 플레이트(302)와 일체형인 실시예들에서, 샤워헤드(340) 및 플레이트(302)의 어레인지먼트는 (플레이트(302), 라이저(205)(존재하는 경우), 및 스페이서(210)를 포함하는) 프로세싱 볼륨(260)의 전체 인클로저가 사용 동안 완전히 접지되는 것을 가능하게 하여, 기생 플라즈마의 생성을 억제할 수 있다. 따라서, 본 개시내용의 실시예들은 업스트림에서 원하지 않는 RF 횡단(RF traversal)를 억제함으로써, 정상파 플라즈마의 생성을 방해하고 기생 증착을 억제할 수 있다.
[00119] 부가적으로, 본 개시내용의 실시예들은, 덮개(300)를 통해 프로세싱 볼륨(260)에 진입하는 가스의 속도가 라디칼들의 역 확산을 억제하기에 충분한 크기가 되도록 촉진할 수 있다. 따라서, 본 개시내용의 실시예들은 업스트림의 스트레이 증착들을 억제할 수 있다. 게다가, 덮개(300)를 통해 프로세싱 볼륨(260)에 진입하는 가스의 속도는, 프로세싱 볼륨(260) 내의 스트레이 증착을 억제함으로써, 기판(218)에서의 그리고 기판(218) 상에 증착된 막들에서의 결함들의 발생 및 크기를 감소시키기에 충분한 크기일 수 있다.
부가적인 양상들
[00120] 본 개시내용은, 특히, 다음의 양상들을 제공하며, 이들 각각은 임의의 대안적인 양상들을 선택적으로 포함하는 것으로 고려될 수 있다.
조항 1. 프로세스 챔버를 시즈닝하기 위한 방법으로서, 방법은,
약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 시즈닝 막을 증착하는 단계; 및
시즈닝 막 상에 증착 막을 증착하는 단계를 포함한다.
조항 2. 제1 조항의 방법에 있어서, 온도는 약 100℃ 내지 약 200℃이다.
조항 3. 제1 조항 또는 제2 조항의 방법에 있어서, 온도는 약 250℃ 내지 약 300℃이다.
조항 4. 제1 조항 내지 제3 조항 중 어느 한 조항의 방법에 있어서,
시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함하고;
증착 막을 증착하는 단계는 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계 ― 제2 탄소-함유 전구체 가스는 제1 탄소-함유 전구체 가스와 동일하거나 또는 상이하고, 제2 불활성 전구체 가스는 제1 불활성 전구체 가스와 동일하거나 또는 상이함 ―를 포함한다.
조항 5. 제1 조항 내지 제4 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막 상에 증착 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 6. 제1 조항 내지 제5 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막 상에 증착 막을 증착하는 단계는 제2 탄소-함유 전구체 가스를 약 200 sccm 내지 약 400 sccm의 유량으로 프로세스 챔버 내로 유동시킴으로써 수행된다.
조항 7. 제1 조항 내지 제6 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막 상에 증착 막을 증착하는 단계는 프로세스 챔버에 약 1 kW 내지 약 6 kW의 RF 전력을 제공하는 단계를 포함한다.
조항 8. 제1 조항 내지 제7 조항 중 어느 한 조항의 방법에 있어서, 제1 탄소-함유 전구체 가스 및 제2 탄소-함유 전구체 가스는 아세틸렌을 포함한다.
조항 9. 제1 조항 내지 제8 조항 중 어느 한 조항의 방법에 있어서, 제1 불활성 전구체 가스 및 제2 불활성 전구체 가스는 헬륨을 포함한다.
조항 10. 제1 조항 내지 제9 조항 중 어느 한 조항의 방법에 있어서, 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는,
제1 탄소-함유 전구체 가스를 약 100 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계, 및
제1 불활성 전구체 가스를 약 150 sccm 내지 약 800 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 11. 제1 조항 내지 제10 조항 중 어느 한 조항의 방법에 있어서, 컴포넌트 상에 시즈닝 막을 증착하는 단계는,
제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계; 및
제1 유동 비를 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제2 유동 비로 조정하는 단계를 포함한다.
조항 12. 제1 조항 내지 제11 조항 중 어느 한 조항의 방법에 있어서, 제1 유동 비는 약 1:1 내지 약 1:2이다.
조항 13. 제1 조항 내지 제12 조항 중 어느 한 조항의 방법에 있어서, 제2 유동 비는 약 10:1 내지 약 1:1 또는 약 1:2 내지 약 1:10이다.
조항 14. 제1 조항 내지 제13 조항 중 어느 한 조항의 방법에 있어서, 제1 유동 비를 제2 유동 비로 조정하는 단계는 약 1 sccm/sec 내지 약 20 sccm/sec의 레이트로 수행된다.
조항 15. 제1 조항 내지 제14 조항 중 어느 한 조항의 방법에 있어서, 컴포넌트 상에 시즈닝 막을 증착하는 단계는,
챔버에 제1 RF 전력을 제공하는 단계, 및
제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함한다.
조항 16. 제1 조항 내지 제15 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력을 제2 RF 전력으로 조정하는 단계는 약 20 W/sec 내지 약 500 W/sec의 레이트로 수행된다.
조항 17. 제1 조항 내지 제16 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력 및 제2 RF 전력은 독립적으로 약 1 kW 내지 약 6 kW이다.
조항 18. 제1 조항 내지 제17 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력은 약 1 kW 내지 약 4 kW이고, 제2 RF 전력은 약 4 kW 내지 약 6 kW이다.
조항 19. 제1 조항 내지 제18 조항 중 어느 한 조항의 방법에 있어서, 컴포넌트 상에 시즈닝 막을 증착하는 단계는,
챔버에 제1 RF 전력을 제공하는 단계, 및
제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함한다.
조항 20. 제1 조항 내지 제19 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력 및 제2 RF 전력은 독립적으로 약 1 kW 내지 약 6 kW이다.
조항 21. 제1 조항 내지 제20 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막은 약 100 nm 내지 약 700 nm의 두께를 갖고, 증착 막은 약 500 nm 내지 약 3 미크론의 두께를 갖는다.
조항 22. 제1 조항 내지 제21 조항 중 어느 한 조항의 방법에 있어서, 프로세스 챔버의 컴포넌트는 웨이퍼가 아니다.
조항 23. 제1 조항 내지 제22 조항 중 어느 한 조항의 방법에 있어서, 프로세스 챔버의 컴포넌트는 프로세스 챔버의 벽이다.
조항 24. 제1 조항 내지 제23 조항 중 어느 한 조항의 방법에 있어서, 질소-처리된 시즈닝 막을 형성하기 위해 시즈닝 막에 질소-함유 가스를 도입하는 단계를 더 포함하며, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 시즈닝 막 상에 증착 막을 증착하기 전에 수행된다.
조항 25. 제1 조항 내지 제24 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 26. 제1 조항 내지 제25 조항 중 어느 한 조항의 방법에 있어서, 질소-함유 가스는 암모니아를 포함한다.
조항 27. 제1 조항 내지 제26 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 약 25 sccm 내지 약 1,000 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 28. 제1 조항 내지 제27 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 프로세스 챔버에 약 100 kW 내지 약 4,000 kW의 RF 전력을 제공하는 단계를 포함한다.
조항 29. 제1 조항 내지 제28 조항 중 어느 한 조항의 방법에 있어서, 질소 가스는 암모니아를 포함한다.
조항 30. 제1 조항 내지 제29 조항 중 어느 한 조항의 방법에 있어서, 질소-처리된 시즈닝 막을 형성하기 위해 시즈닝 막에 질소-함유 가스를 도입하는 단계를 더 포함하며, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 시즈닝 막 상에 증착 막을 증착하기 전에 수행된다.
조항 31. 제1 조항 내지 제30 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 32. 제1 조항 내지 제31 조항 중 어느 한 조항의 방법에 있어서, 질소-함유 가스는 암모니아를 포함한다.
조항 33. 제1 조항 내지 제32 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 약 25 sccm 내지 약 1,000 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 34. 제1 조항 내지 제33 조항 중 어느 한 조항의 방법에 있어서, 시즈닝 막에 질소-함유 가스를 도입하는 단계는 프로세스 챔버에 약 100 kW 내지 약 4,000 kW의 RF 전력을 제공하는 단계를 포함한다.
조항 35. 제1 조항 내지 제34 조항 중 어느 한 조항의 방법에 있어서, 질소 가스는 암모니아를 포함한다.
조항 36. 프로세스 챔버를 시즈닝하기 위한 방법으로서, 방법은,
약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계;
제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계; 및
제2 시즈닝 막 상에 증착 막을 증착하는 단계를 포함하고,
제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 37. 제36 조항의 방법에 있어서, 온도는 약 100℃ 내지 약 200℃이다.
조항 38. 제36 조항 또는 제37 조항의 방법에 있어서, 온도는 약 250℃ 내지 약 300℃이다.
조항 39. 제36 조항 내지 제38 조항 중 어느 한 조항의 방법에 있어서, 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 40. 제36 조항 내지 제39 조항 중 어느 한 조항의 방법에 있어서,
제2 시즈닝 막을 증착하는 단계는 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함하고;
증착 막을 증착하는 단계는 제3 탄소-함유 전구체 가스 및 제3 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함하며,
여기서,
제2 탄소-함유 전구체 가스는 제1 또는 제3 탄소-함유 전구체 가스와 동일하거나 또는 상이하고, 제2 불활성 전구체 가스는 제1 또는 제3 불활성 전구체 가스와 동일하거나 또는 상이하고,
제3 탄소-함유 전구체 가스는 제1 또는 제2 탄소-함유 전구체 가스와 동일하거나 또는 상이하고, 제3 불활성 전구체 가스는 제1 또는 제2 불활성 전구체 가스와 동일하거나 또는 상이하다.
조항 41. 제36 조항 내지 제40 조항 중 어느 한 조항의 방법에 있어서,
컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계를 포함하고;
제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비를 제2 유동 비로 조정하는 단계를 포함한다.
조항 42. 제36 조항 내지 제41 조항 중 어느 한 조항의 방법에 있어서, 제1 유동 비는 약 1:1 내지 약 1:2이다.
조항 43. 제36 조항 내지 제42 조항 중 어느 한 조항의 방법에 있어서, 제2 유동 비는 약 10:1 내지 약 1:1 또는 약 1:2 내지 약 1:10이다.
조항 44. 제36 조항 내지 제43 조항 중 어느 한 조항의 방법에 있어서, 제1 유동 비를 제2 유동 비로 조정하는 단계는 약 1초 이하의 레이트로 수행된다.
조항 45. 제36 조항 내지 제44 조항 중 어느 한 조항의 방법에 있어서,
컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 챔버에 제1 RF 전력을 제공하는 단계를 포함하고;
제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함한다.
조항 46. 제36 조항 내지 제45 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력을 제2 RF 전력으로 조정하는 단계는 약 1초 이하의 레이트로 수행된다.
조항 47. 제36 조항 내지 제46 조항 중 어느 한 조항의 방법에 있어서, 제2 시즈닝 막 상에 증착 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 48. 제36 조항 내지 제47 조항 중 어느 한 조항의 방법에 있어서, 제2 시즈닝 막 상에 증착 막을 증착하는 단계는 제3 탄소-함유 전구체 가스를 약 200 sccm 내지 약 400 sccm의 유량으로 프로세스 챔버 내로 유동시킴으로써 수행된다.
조항 49. 제36 조항 내지 제48 조항 중 어느 한 조항의 방법에 있어서, 제2 시즈닝 막 상에 증착 막을 증착하는 단계는 프로세스 챔버에 약 1 kW 내지 약 6 kW의 RF 전력을 제공하는 단계를 포함한다.
조항 50. 제36 조항 내지 제49 조항 중 어느 한 조항의 방법에 있어서, 제1 탄소-함유 전구체 가스, 제2 탄소-함유 전구체 가스, 및 제3 탄소-함유 전구체 가스는 아세틸렌을 포함한다.
조항 51. 제36 조항 내지 제50 조항 중 어느 한 조항의 방법에 있어서, 제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 및 제3 불활성 전구체 가스는 헬륨을 포함한다.
조항 52. 제36 조항 내지 제51 조항 중 어느 한 조항의 방법에 있어서, 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는,
제1 탄소-함유 전구체 가스를 약 100 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계, 및
제1 불활성 전구체 가스를 약 150 sccm 내지 약 800 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 53. 제36 조항 내지 제52 조항 중 어느 한 조항의 방법에 있어서, 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는,
제2 탄소-함유 전구체 가스를 약 100 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계 ― 제2 탄소-함유 전구체 가스의 유량은 제1 탄소-함유 전구체 가스의 유량과 상이함 ―, 및
제2 불활성 전구체 가스를 약 150 sccm 내지 약 800 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계 ― 제2 불활성 전구체 가스의 유량은 제1 불활성 전구체 가스의 유량과 상이함 ―를 포함한다.
조항 54. 제36 조항 내지 제53 조항 중 어느 한 조항의 방법에 있어서,
컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 챔버에 제1 RF 전력을 제공하는 단계를 포함하고,
제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 챔버에 제2 RF 전력을 제공하는 단계 ― 제2 RF 전력은 제1 RF 전력과 상이함 ―를 포함한다.
조항 55. 제36 조항 내지 제54 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력 및 제2 RF 전력은 독립적으로 약 1 kW 내지 약 6 kW이다.
조항 56. 제36 조항 내지 제55 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력은 약 1 kW 내지 약 3 kW이고, 제2 RF 전력은 약 3 kW 내지 약 6 kW이다.
조항 57. 제36 조항 내지 제56 조항 중 어느 한 조항의 방법에 있어서, 제1 시즈닝 막 및 제2 시즈닝 막은 약 100 nm 내지 약 700 nm의 결합된 두께를 갖고, 증착 막은 약 500 nm 내지 약 3 미크론의 두께를 갖는다.
조항 58. 제36 조항 내지 제57 조항 중 어느 한 조항의 방법에 있어서, 프로세스 챔버의 컴포넌트는 웨이퍼가 아니다.
조항 59. 제36 조항 내지 제58 조항 중 어느 한 조항의 방법에 있어서, 프로세스 챔버의 컴포넌트는 프로세스 챔버의 벽이다.
조항 60. 제36 조항 내지 제59 조항 중 어느 한 조항의 방법에 있어서, 질소-처리된 시즈닝 막을 형성하기 위해 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계를 더 포함하며, 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 제2 시즈닝 막 상에 증착 막을 증착하기 전에 수행된다.
조항 61. 제36 조항 내지 제60 조항 중 어느 한 조항의 방법에 있어서, 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 62. 제36 조항 내지 제61 조항 중 어느 한 조항의 방법에 있어서, 질소-함유 가스는 암모니아를 포함한다.
조항 63. 제36 조항 내지 제62 조항 중 어느 한 조항의 방법에 있어서, 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 약 25 sccm 내지 약 1,000 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 64. 제36 조항 내지 제63 조항 중 어느 한 조항의 방법에 있어서, 제2 시즈닝 막에 질소-함유 가스를 도입하는 단계는 프로세스 챔버에 약 100 kW 내지 약 4,000 kW의 RF 전력을 제공하는 단계를 포함한다.
조항 65. 제36 조항 내지 제64 조항 중 어느 한 조항의 방법에 있어서, 질소 가스는 암모니아를 포함한다.
조항 66. 프로세스 챔버를 시즈닝하기 위한 방법으로서, 방법은,
약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 프로세스 챔버의 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계;
제1 시즈닝 막 상에 복수의 부가적인 시즈닝 막들을 증착하는 단계; 및
복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계를 포함하고,
여기서,
제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 67. 제66 조항의 방법에 있어서, 온도는 약 100℃ 내지 약 200℃이다.
조항 68. 제66 조항 또는 제67 조항의 방법에 있어서, 온도는 약 250℃ 내지 약 300℃이다.
조항 69. 제66 조항 내지 제68 조항 중 어느 한 조항의 방법에 있어서, 복수의 부가적인 시즈닝 막들을 증착하는 단계는,
제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계,
제3 탄소-함유 전구체 가스 및 제3 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제2 시즈닝 막 상에 제3 시즈닝 막을 증착하는 단계,
제4 탄소-함유 전구체 가스 및 제4 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제3 시즈닝 막 상에 제4 시즈닝 막을 증착하는 단계를 포함하고,
여기서,
제2, 제3, 및 제4 탄소-함유 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하고,
제2, 제3, 및 제4 불활성 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하다.
조항 70. 제66 조항 내지 제69 조항 중 어느 한 조항의 방법에 있어서, 복수의 부가적인 시즈닝 막들을 증착하는 단계는,
제5 탄소-함유 전구체 가스 및 제5 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제4 시즈닝 막 상에 제5 시즈닝 막을 증착하는 단계,
제6 탄소-함유 전구체 가스 및 제6 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제5 시즈닝 막 상에 제6 시즈닝 막을 증착하는 단계,
제7 탄소-함유 전구체 가스 및 제7 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제6 시즈닝 막 상에 제7 시즈닝 막을 증착하는 단계, 및
제8 탄소-함유 전구체 가스 및 제8 불활성 전구체 가스를 프로세스 챔버 내로 유동시킴으로써 제7 시즈닝 막 상에 제8 시즈닝 막을 증착하는 단계를 포함하고,
여기서,
제5, 제6, 제7 및 제8 탄소-함유 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하고,
제5, 제6, 제7 및 제8 불활성 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하다.
조항 71. 제66 조항 내지 제70 조항 중 어느 한 조항의 방법에 있어서, 복수의 부가적인 시즈닝 막들의 각각의 시즈닝 막은 복수의 부가적인 시즈닝 막들 중 인접한 시즈닝 막과 상이한 고유 응력을 갖는다.
조항 72. 제66 조항 내지 제71 조항 중 어느 한 조항의 방법에 있어서, 복수의 부가적인 시즈닝 막들 중 하나 이상의 시즈닝 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 73. 제66 조항 내지 제72 조항 중 어느 한 조항의 방법에 있어서, 복수의 부가적인 시즈닝 막들의 각각의 시즈닝 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 74. 제66 조항 내지 제73 조항 중 어느 한 조항의 방법에 있어서,
컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계를 포함하고;
제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스의 제1 유동 비를 제2 유동 비로 조정하는 단계를 포함하고;
제2 시즈닝 막 상에 제3 시즈닝 막을 증착하는 단계는 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스의 제2 유동 비를 제3 유동 비로 조정하는 단계를 포함하고;
제3 시즈닝 막 상에 제4 시즈닝 막을 증착하는 단계는 제3 탄소-함유 전구체 가스 및 제3 불활성 전구체 가스의 제3 유동 비를 제4 유동 비로 조정하는 단계를 포함한다.
조항 75. 제66 조항 내지 제74 조항 중 어느 한 조항의 방법에 있어서, 제1 유동 비 및 제3 유동 비는 독립적으로 약 1:1 내지 약 1:2이다.
조항 76. 제66 조항 내지 제75 조항 중 어느 한 조항의 방법에 있어서, 제2 유동 비 및 제4 유동 비는 약 10:1 내지 약 1:1 또는 약 1:2 내지 약 1:10이다.
조항 77. 제66 조항 내지 제76 조항 중 어느 한 조항의 방법에 있어서, 제1 유동 비를 제2 유동 비로, 제2 유동 비를 제3 유동 비로, 그리고 제3 유동 비를 제4 유동 비로 조정하는 단계는 독립적으로 약 1초 이하의 레이트로 수행된다.
조항 78. 제66 조항 내지 제77 조항 중 어느 한 조항의 방법에 있어서,
제4 시즈닝 막 상에 제5 시즈닝 막을 증착하는 단계는 제5 탄소-함유 전구체 가스 및 제5 불활성 전구체 가스를 제5 탄소-함유 전구체 가스 및 제5 불활성 전구체 가스의 제5 유동 비로 유동시키는 단계를 포함하고;
제5 시즈닝 막 상에 제6 시즈닝 막을 증착하는 단계는 제5 탄소-함유 전구체 가스 및 제5 불활성 전구체 가스의 제5 유동 비를 제6 유동 비로 조정하는 단계를 포함하고;
제6 시즈닝 막 상에 제7 시즈닝 막을 증착하는 단계는 제6 탄소-함유 전구체 가스 및 제6 불활성 전구체 가스의 제6 유동 비를 제7 유동 비로 조정하는 단계를 포함하고;
제7 시즈닝 막 상에 제8 시즈닝 막을 증착하는 단계는 제7 탄소-함유 전구체 가스 및 제7 불활성 전구체 가스의 제7 유동 비를 제8 유동 비로 조정하는 단계를 포함한다.
조항 79. 제66 조항 내지 제78 조항 중 어느 한 조항의 방법에 있어서, 제5 유동 비 및 제7 유동 비는 독립적으로 약 1:1 내지 약 1:2이다.
조항 80. 제66 조항 내지 제79 조항 중 어느 한 조항의 방법에 있어서, 제6 유동 비 및 제8 유동 비는 약 10:1 내지 약 1:1 또는 약 1:2 내지 약 1:10이다.
조항 81. 제66 조항 내지 제80 조항 중 어느 한 조항의 방법에 있어서, 제1 유동 비를 제2 유동 비로, 제2 유동 비를 제3 유동 비로, 제3 유동 비를 제4 유동 비로, 제4 유동 비를 제5 유동 비로, 제5 유동 비를 제6 유동 비로, 제6 유동 비를 제7 유동 비로, 그리고 제7 유동 비를 제8 유동 비로 조정하는 단계는 독립적으로 약 1초 이하의 레이트로 수행된다.
조항 82. 제66 조항 내지 제81 조항 중 어느 한 조항의 방법에 있어서,
컴포넌트 상에 제1 시즈닝 막을 증착하는 단계는 챔버에 제1 RF 전력을 제공하는 단계를 포함하고;
제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계는 제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함하고;
제2 시즈닝 막 상에 제3 시즈닝 막을 증착하는 단계는 제2 RF 전력을 제3 RF 전력으로 조정하는 단계를 포함하고;
제3 시즈닝 막 상에 제4 시즈닝 막을 증착하는 단계는 제3 RF 전력을 제4 RF 전력으로 조정하는 단계를 포함한다.
조항 83. 제66 조항 내지 제82 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력을 제2 RF 전력으로, 제2 RF 전력을 제3 RF 전력으로, 그리고 제3 RF 전력을 제4 RF 전력으로 조정하는 단계는 독립적으로 약 1초 이하의 레이트로 수행된다.
조항 84. 제66 조항 내지 제83 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력, 제2 RF 전력, 제3 RF 전력, 및 제4 RF 전력은 독립적으로 약 1 kW 내지 약 6 kW이다.
조항 85. 제66 조항 내지 제84 조항 중 어느 한 조항의 방법에 있어서,
제1 RF 전력 및 제3 RF 전력은 독립적으로 약 1 kW 내지 약 3 kW이고,
제2 RF 전력 및 제4 RF 전력은 독립적으로 약 3 kW 내지 약 6 kW이다.
조항 86. 제66 조항 내지 제85 조항 중 어느 한 조항의 방법에 있어서,
제4 시즈닝 막 상에 제5 시즈닝 막을 증착하는 단계는 제4 RF 전력을 제5 RF 전력으로 조정하는 단계를 포함하고;
제5 시즈닝 막 상에 제6 시즈닝 막을 증착하는 단계는 제5 RF 전력을 제6 RF 전력으로 조정하는 단계를 포함하고;
제6 시즈닝 막 상에 제7 시즈닝 막을 증착하는 단계는 제6 RF 전력을 제7 RF 전력으로 조정하는 단계를 포함하고;
제7 시즈닝 막 상에 제8 시즈닝 막을 증착하는 단계는 제7 RF 전력을 제8 RF 전력으로 조정하는 단계를 포함한다.
조항 87. 제66 조항 내지 제86 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력을 제2 RF 전력으로, 제2 RF 전력을 제3 RF 전력으로, 제3 RF 전력을 제4 RF 전력으로, 제4 RF 전력을 제5 RF 전력으로, 제5 RF 전력을 제6 RF 전력으로, 제6 RF 전력을 제7 RF 전력으로, 그리고 제7 RF 전력을 제8 RF 전력으로 조정하는 단계는 독립적으로 약 1초 이하의 레이트로 수행된다.
조항 88. 제66 조항 내지 제87 조항 중 어느 한 조항의 방법에 있어서, 제1 RF 전력, 제2 RF 전력, 제3 RF 전력, 제4 RF 전력, 제5 RF 전력, 제6 RF 전력, 제7 RF 전력, 및 제8 RF 전력은 독립적으로 약 1 kW 내지 약 6 kW이다.
조항 89. 제66 조항 내지 제88 조항 중 어느 한 조항의 방법에 있어서,
제1 RF 전력, 제3 RF 전력, 제5 RF 전력, 및 제7 RF 전력은 독립적으로 약 1 kW 내지 약 3 kW이고,
제2 RF 전력, 제4 RF 전력, 제6 RF 전력, 및 제8 RF 전력은 독립적으로 약 3 kW 내지 약 6 kW이다.
조항 90. 제66 조항 내지 제89 조항 중 어느 한 조항의 방법에 있어서, 제1 탄소-함유 전구체 가스, 제2 탄소-함유 전구체 가스, 제3 탄소-함유 전구체 가스, 및 제4 탄소-함유 전구체 가스는 아세틸렌을 포함한다.
조항 91. 제66 조항 내지 제90 조항 중 어느 한 조항의 방법에 있어서, 제1 탄소-함유 전구체 가스, 제2 탄소-함유 전구체 가스, 제3 탄소-함유 전구체 가스, 제4 탄소-함유 전구체 가스, 제5 탄소-함유 전구체 가스, 제6 탄소-함유 전구체 가스, 제7 탄소-함유 전구체 가스, 및 제8 탄소-함유 전구체 가스는 아세틸렌을 포함한다.
조항 92. 제66 조항 내지 제91 조항 중 어느 한 조항의 방법에 있어서, 제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 제3 불활성 전구체 가스, 및 제4 불활성 전구체 가스는 헬륨을 포함한다.
조항 93. 제66 조항 내지 제92 조항 중 어느 한 조항의 방법에 있어서, 제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 제3 불활성 전구체 가스, 제4 불활성 전구체 가스, 제5 불활성 전구체 가스, 제6 불활성 전구체 가스, 제7 불활성 전구체 가스, 및 제8 불활성 전구체 가스는 헬륨을 포함한다.
조항 94. 제66 조항 내지 제93 조항 중 어느 한 조항의 방법에 있어서, 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는,
제1 탄소-함유 전구체 가스를 약 100 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계, 및
제1 불활성 전구체 가스를 약 150 sccm 내지 약 800 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 95. 제66 조항 내지 제94 조항 중 어느 한 조항의 방법에 있어서,
제1 불활성 전구체 가스, 제2 탄소-함유 전구체 가스, 제3 탄소-함유 전구체 가스, 및 제4 탄소-함유 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 독립적으로 약 100 sccm 내지 약 600 sccm의 유량으로 수행되고,
제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 제3 불활성 전구체 가스, 및 제4 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 독립적으로 약 150 sccm 내지 약 800 sccm의 유량으로 수행된다.
조항 96. 제66 조항 내지 제95 조항 중 어느 한 조항의 방법에 있어서,
제1 불활성 전구체 가스, 제2 탄소-함유 전구체 가스, 제3 탄소-함유 전구체 가스, 제4 탄소-함유 전구체 가스, 제5 탄소-함유 전구체 가스, 제6 탄소-함유 전구체 가스, 제7 탄소-함유 전구체 가스, 및 제8 탄소-함유 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 독립적으로, 약 100 sccm 내지 약 600 sccm의 유량으로 수행되고,
제1 불활성 전구체 가스, 제2 불활성 전구체 가스, 제3 불활성 전구체 가스, 제4 불활성 전구체 가스, 제5 불활성 전구체 가스, 제6 불활성 전구체 가스, 제7 불활성 전구체 가스, 및 제8 불활성 전구체 가스를 프로세스 챔버 내로 유동시키는 단계는 독립적으로 약 150 sccm 내지 약 800 sccm의 유량으로 수행된다.
조항 97. 제66 조항 내지 제96 조항 중 어느 한 조항의 방법에 있어서, 제1 시즈닝 막 및 복수의 부가적인 시즈닝 막들은 약 100 nm 내지 약 700 nm의 결합된 두께를 갖고, 증착 막은 약 500 nm 내지 약 3 미크론의 두께를 갖는다.
조항 98. 제66 조항 내지 제97 조항 중 어느 한 조항의 방법에 있어서, 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 99. 제66 조항 내지 제98 조항 중 어느 한 조항의 방법에 있어서, 복수의 추가의 시즈닝 막들 상에 증착 막을 증착하는 단계는 제3 탄소-함유 전구체 가스를 약 200 sccm 내지 약 400 sccm의 유량으로 프로세스 챔버 내로 유동시킴으로써 수행된다.
조항 100. 제66 조항 내지 제99 조항 중 어느 한 조항의 방법에 있어서, 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계는 프로세스 챔버에 약 1 kW 내지 약 6 kW의 RF 전력을 제공하는 단계를 포함한다.
조항 101. 제66 조항 내지 제100 조항 중 어느 한 조항의 방법에 있어서, 프로세스 챔버의 컴포넌트는 웨이퍼가 아니다.
조항 102. 제66 조항 내지 제101 조항 중 어느 한 조항의 방법에 있어서, 프로세스 챔버의 컴포넌트는 프로세스 챔버의 벽이다.
조항 103. 제66 조항 내지 제102 조항 중 어느 한 조항의 방법에 있어서, 질소-처리된 시즈닝 막을 형성하기 위해 복수의 부가적인 시즈닝 막들의 막에 질소-함유 가스를 도입하는 단계를 더 포함하며, 복수의 부가적인 시즈닝 막들의 막에 질소-함유 가스를 도입하는 단계는 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하기 전에 수행된다.
조항 104. 제66 조항 내지 제103 조항 중 어느 한 조항의 방법에 있어서, 복수의 부가적인 시즈닝 막들에 질소-함유 가스를 도입하는 단계는 약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만의 온도에서 수행된다.
조항 105. 제66 조항 내지 제104 조항 중 어느 한 조항의 방법에 있어서, 질소-함유 가스는 암모니아를 포함한다.
조항 106. 제66 조항 내지 제105 조항 중 어느 한 조항의 방법에 있어서, 복수의 추가의 시즈닝 막들에 질소-함유 가스를 도입하는 단계는 질소-함유 가스를 약 25 sccm 내지 약 1,000 sccm의 유량으로 프로세스 챔버 내로 유동시키는 단계를 포함한다.
조항 107. 제66 조항 내지 제106 조항 중 어느 한 조항의 방법에 있어서, 복수의 추가의 시즈닝 막들에 질소-함유 가스를 도입하는 단계는 프로세스 챔버에 약 100 kW 내지 약 4,000 kW의 RF 전력을 제공하는 단계를 포함한다.
조항 108. 제66 조항 내지 제107 조항 중 어느 한 조항의 방법에 있어서, 질소 가스는 암모니아를 포함한다.
[00121] 전반적으로, 본 개시내용의 방법들은, 증착 막과 챔버 컴포넌트 사이에 배치된 시즈닝 막을 제공함으로써, 보호 재료들(증착 막)의 감소된 플레이킹 및 챔버 컴포넌트에 대한 증착 막의 개선된 접착을 제공할 수 있다. 예컨대, 증착 막은 약 300 MPa 이하의 고유 응력(압축)을 가질 수 있는 반면, 챔버 컴포넌트(예컨대, 알루미늄-함유 컴포넌트)는 약 800 MPa 이상의 고유 응력(압축)을 가질 수 있다. 증착 막과 챔버 컴포넌트의 고유 응력의 그러한 불일치는 증착 막과 챔버 컴포넌트의 열등한 접착 및 플레이킹을 촉진한다. 그러나, 본 발명자들은, 시즈닝 막의 고유 응력을 제어함으로써, 시즈닝 막이, 시즈닝 막의 일 측 상의 증착 막에 유익하게 접착하고 시즈닝 막의 반대편 측 상의 챔버 컴포넌트에 접착하도록 맞춰질 수 있다는 것을 발견하였다. 본 발명자들은 그러한 개선들을 달성하기 위한 다수의 접근법들을 발견하였다.
[00122] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 프로세스 챔버를 시즈닝(seasoning)하기 위한 방법으로서,
    약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 상기 프로세스 챔버의 컴포넌트 상에 시즈닝 막을 증착하는 단계; 및
    상기 시즈닝 막 상에 증착 막을 증착하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 온도는 약 100℃ 내지 약 200℃인, 방법.
  3. 제1항에 있어서,
    상기 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 상기 프로세스 챔버 내로 유동시키는 단계를 포함하고;
    상기 증착 막을 증착하는 단계는 제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 상기 프로세스 챔버 내로 유동시키는 단계 ― 상기 제2 탄소-함유 전구체 가스는 상기 제1 탄소-함유 전구체 가스와 동일하거나 또는 상이하고, 상기 제2 불활성 전구체 가스는 상기 제1 불활성 전구체 가스와 동일하거나 또는 상이함 ―를 포함하는, 방법.
  4. 제3항에 있어서,
    상기 제1 탄소-함유 전구체 가스 및 상기 제2 탄소-함유 전구체 가스는 아세틸렌을 포함하고,
    상기 제1 불활성 전구체 가스 및 상기 제2 불활성 전구체 가스는 헬륨을 포함하는, 방법.
  5. 제3항에 있어서, 상기 컴포넌트 상에 상기 시즈닝 막을 증착하는 단계는,
    상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스를 상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계; 및
    상기 제1 유동 비를 상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스의 제2 유동 비로 조정하는 단계를 포함하는, 방법.
  6. 제5항에 있어서, 상기 제1 유동 비는 약 1:1 내지 약 1:2인, 방법.
  7. 제5항에 있어서, 상기 제1 유동 비를 상기 제2 유동 비로 조정하는 단계는 약 1 sccm/sec 내지 약 20 sccm/sec의 레이트(rate)로 수행되는, 방법.
  8. 제3항에 있어서, 상기 컴포넌트 상에 상기 시즈닝 막을 증착하는 단계는,
    상기 챔버에 제1 RF 전력을 제공하는 단계, 및
    제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함하는, 방법.
  9. 제8항에 있어서, 상기 제1 RF 전력을 상기 제2 RF 전력으로 조정하는 단계는 약 20 W/sec 내지 약 500 W/sec의 레이트로 수행되는, 방법.
  10. 제9항에 있어서, 상기 제1 RF 전력은 약 1 kW 내지 약 4 kW이고, 상기 제2 RF 전력은 약 4 kW 내지 약 6 kW인, 방법.
  11. 프로세스 챔버를 시즈닝하기 위한 방법으로서,
    약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 상기 프로세스 챔버의 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계;
    상기 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계; 및
    상기 제2 시즈닝 막 상에 증착 막을 증착하는 단계를 포함하고,
    상기 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 상기 프로세스 챔버 내로 유동시키는 단계를 포함하는, 방법.
  12. 제11항에 있어서,
    상기 컴포넌트 상에 상기 제1 시즈닝 막을 증착하는 단계는 상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스를 상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계를 포함하고,
    상기 제1 시즈닝 막 상에 상기 제2 시즈닝 막을 증착하는 단계는 상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스의 상기 제1 유동 비를 제2 유동 비로 조정하는 단계를 포함하는, 방법.
  13. 제12항에 있어서, 상기 제1 유동 비를 상기 제2 유동 비를 조정하는 단계는 약 1 초 이하의 레이트로 수행되는, 방법.
  14. 제11항에 있어서,
    상기 컴포넌트 상에 상기 제1 시즈닝 막을 증착하는 단계는 상기 챔버에 제1 RF 전력을 제공하는 단계를 포함하고,
    상기 제1 시즈닝 막 상에 상기 제2 시즈닝 막을 증착하는 단계는 상기 제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함하는, 방법.
  15. 제14항에 있어서, 상기 제1 RF 전력을 상기 제2 RF 전력으로 조정하는 단계는 약 1 초 이하의 레이트로 수행되는, 방법.
  16. 프로세스 챔버를 시즈닝하기 위한 방법으로서,
    약 4 mTorr 내지 약 20 mTorr의 챔버 압력 및 약 200℃ 미만 또는 약 200℃ 내지 약 400℃의 온도에서 상기 프로세스 챔버의 컴포넌트 상에 제1 시즈닝 막을 증착하는 단계;
    상기 제1 시즈닝 막 상에 복수의 부가적인 시즈닝 막들을 증착하는 단계; 및
    상기 복수의 부가적인 시즈닝 막들 상에 증착 막을 증착하는 단계를 포함하고,
    여기서,
    상기 제1 시즈닝 막을 증착하는 단계는 제1 탄소-함유 전구체 가스 및 제1 불활성 전구체 가스를 상기 프로세스 챔버 내로 유동시키는 단계를 포함하는, 방법.
  17. 제16항에 있어서, 상기 복수의 부가적인 시즈닝 막들을 증착하는 단계는,
    제2 탄소-함유 전구체 가스 및 제2 불활성 전구체 가스를 상기 프로세스 챔버 내로 유동시킴으로써 상기 제1 시즈닝 막 상에 제2 시즈닝 막을 증착하는 단계,
    제3 탄소-함유 전구체 가스 및 제3 불활성 전구체 가스를 상기 프로세스 챔버 내로 유동시킴으로써 상기 제2 시즈닝 막 상에 제3 시즈닝 막을 증착하는 단계,
    제4 탄소-함유 전구체 가스 및 제4 불활성 전구체 가스를 상기 프로세스 챔버 내로 유동시킴으로써 상기 제3 시즈닝 막 상에 제4 시즈닝 막을 증착하는 단계를 포함하고,
    여기서,
    상기 제2, 제3, 및 제4 탄소-함유 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이하고,
    상기 제2, 제3, 및 제4 불활성 전구체 가스들은 독립적으로 서로 동일하거나 또는 상이한, 방법.
  18. 제17항에 있어서,
    상기 컴포넌트 상에 상기 제1 시즈닝 막을 증착하는 단계는 상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스를 상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스의 제1 유동 비로 유동시키는 단계를 포함하고;
    상기 제1 시즈닝 막 상에 상기 제2 시즈닝 막을 증착하는 단계는 상기 제1 탄소-함유 전구체 가스 및 상기 제1 불활성 전구체 가스의 상기 제1 유동 비를 제2 유동 비로 조정하는 단계를 포함하고;
    상기 제2 시즈닝 막 상에 상기 제3 시즈닝 막을 증착하는 단계는 상기 제2 탄소-함유 전구체 가스 및 상기 제2 불활성 전구체 가스의 상기 제2 유동 비를 제3 유동 비로 조정하는 단계를 포함하고;
    상기 제3 시즈닝 막 상에 제4 시즈닝 막을 증착하는 단계는 상기 제3 탄소-함유 전구체 가스 및 상기 제3 불활성 전구체 가스의 상기 제3 유동 비를 제4 유동 비로 조정하는 단계를 포함하는, 방법.
  19. 제18항에 있어서, 상기 제1 유동 비 및 상기 제3 유동 비는 독립적으로 약 1:1 내지 약 1:2인, 방법.
  20. 제17항에 있어서,
    상기 컴포넌트 상에 상기 제1 시즈닝 막을 증착하는 단계는 상기 챔버에 제1 RF 전력을 제공하는 단계를 포함하고;
    상기 제1 시즈닝 막 상에 상기 제2 시즈닝 막을 증착하는 단계는 상기 제1 RF 전력을 제2 RF 전력으로 조정하는 단계를 포함하고;
    상기 제2 시즈닝 막 상에 상기 제3 시즈닝 막을 증착하는 단계는 상기 제2 RF 전력을 제3 RF 전력으로 조정하는 단계를 포함하고;
    상기 제3 시즈닝 막 상에 상기 제4 시즈닝 막을 증착하는 단계는 상기 제3 RF 전력을 제4 RF 전력으로 조정하는 단계를 포함하는, 방법.
KR1020237017068A 2020-10-21 2021-10-14 프로세스 챔버들을 시즈닝하는 방법들 KR20230091974A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/075,801 US20220122821A1 (en) 2020-10-21 2020-10-21 Methods of seasoning process chambers
US17/075,801 2020-10-21
PCT/US2021/055029 WO2022086788A1 (en) 2020-10-21 2021-10-14 Methods of seasoning process chambers

Publications (1)

Publication Number Publication Date
KR20230091974A true KR20230091974A (ko) 2023-06-23

Family

ID=81185224

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237017068A KR20230091974A (ko) 2020-10-21 2021-10-14 프로세스 챔버들을 시즈닝하는 방법들

Country Status (6)

Country Link
US (1) US20220122821A1 (ko)
JP (1) JP2023547379A (ko)
KR (1) KR20230091974A (ko)
CN (1) CN116568862A (ko)
TW (1) TW202225473A (ko)
WO (1) WO2022086788A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022126073A1 (de) 2022-10-10 2024-04-11 Stephan Wege Prozessstabilität durch Abscheidung

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184754A (ja) * 2000-12-13 2002-06-28 Seiko Epson Corp ドライエッチング装置のシーズニング方法
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
KR20090025053A (ko) * 2007-09-05 2009-03-10 주식회사 아이피에스 화학기상증착 챔버의 시즈닝 방법
US7659184B2 (en) * 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
CN102903613B (zh) * 2011-07-25 2016-05-18 中国科学院微电子研究所 消除接触孔工艺中桥接的方法
KR102634196B1 (ko) * 2015-06-05 2024-02-06 어플라이드 머티어리얼스, 인코포레이티드 붕소-도핑된 탄소 막들을 위한 정전 척킹 및 우수한 입자 성능을 가능하게 하기 위한 그레이딩된 인-시튜 전하 트랩핑 층들
US20200146496A1 (en) * 2016-03-28 2020-05-14 Bhagirath Ghanshyambhai PATADIA Portable fully automatic cooking system

Also Published As

Publication number Publication date
US20220122821A1 (en) 2022-04-21
CN116568862A (zh) 2023-08-08
JP2023547379A (ja) 2023-11-10
TW202225473A (zh) 2022-07-01
WO2022086788A1 (en) 2022-04-28

Similar Documents

Publication Publication Date Title
US7718004B2 (en) Gas-introducing system and plasma CVD apparatus
JP4417362B2 (ja) Cvdチャンバのクリーニング方法
KR100914354B1 (ko) Pecvd막에 대한 1차 웨이퍼 효과 제거
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US9157151B2 (en) Elimination of first wafer effect for PECVD films
US20020185067A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US6047713A (en) Method for cleaning a throttle valve
KR20060046723A (ko) Pecvd 막들의 개선된 증착 반복성
JP2009512221A (ja) 大面積pecvd装置のためのリモートプラズマ源を使用したクリーニング手段
GB2426252A (en) Atomic layer deposition apparatus
US9570289B2 (en) Method and apparatus to minimize seam effect during TEOS oxide film deposition
KR20230091974A (ko) 프로세스 챔버들을 시즈닝하는 방법들
CN102089848A (zh) 远程等离子体清洗方法和用于应用所述方法的设备
KR100422398B1 (ko) 박막 증착 장비
US20230323531A1 (en) Coating interior surfaces of complex bodies by atomic layer deposition
US20220199379A1 (en) High temperature heating of a substrate in a processing chamber
US20220178026A1 (en) Carbon cvd deposition methods to mitigate stress induced defects
US20220178017A1 (en) Cfx layer to protect aluminum surface from over-oxidation
US20220064797A1 (en) Showerhead design to control stray deposition
JP2023533441A (ja) 原子層堆積を用いるプラズマエッチングツール内で用いられる構成要素の表面の密封