JP2006501360A - 無電界メッキシステム - Google Patents

無電界メッキシステム Download PDF

Info

Publication number
JP2006501360A
JP2006501360A JP2003558233A JP2003558233A JP2006501360A JP 2006501360 A JP2006501360 A JP 2006501360A JP 2003558233 A JP2003558233 A JP 2003558233A JP 2003558233 A JP2003558233 A JP 2003558233A JP 2006501360 A JP2006501360 A JP 2006501360A
Authority
JP
Japan
Prior art keywords
substrate
enclosure
plating
support member
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003558233A
Other languages
English (en)
Other versions
JP2006501360A5 (ja
Inventor
ジョセフ, ジェイ. スティーヴンス,
ドミトリー ルボミルスキー,
イアン パンチャム,
ドナルド, ジェイ. オルガド,
ハワード, ジェイ. グルーンズ,
イェック−ファイ, エドウィン モック,
ギリッシュ ディキシット,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006501360A publication Critical patent/JP2006501360A/ja
Publication of JP2006501360A5 publication Critical patent/JP2006501360A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1632Features specific for the apparatus, e.g. layout of cells and of its equipment, multiple cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemically Coating (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

基板をメッキするための方法及び装置であって、該装置が、中に位置決めされた少なくとも1つの基板移送ロボットを有する中央基板移送エンクロージャを含む、方法及び装置。該中央基板移送エンクロージャと連通している基板活性化チャンバが設けられており、少なくとも1つの基板移送ロボットに接近できるようになっている。該中央基板移送エンクロージャと連通している基板スピン・リンス・ドライ・チャンバが設けられており、少なくとも1つの基板移送ロボットに接近できるようになっており、また、該中央基板移送エンクロージャと連通しているアニールチャンバが設けられており、少なくとも1つの基板移送ロボットに接近できるようになっている。また、基板移送チャンバと連通し、かつ少なくとも1つの基板移送ロボットに接近可能な、少なくとも1つの基板ポッドローダも設けられている。

Description

発明の背景
発明の分野
[0001]本発明は、一般に、無電界メッキ装置に関する。
関連技術の説明
[0002]サブクォータ・ミクロン(0.25ミクロン未満)のマルチレベル・メタライゼーションは、次世代の超大規模集積回路(VLSI)や極超大規模集積回路(ULSI)にとっての重要技術である。これらの集積技術の中核をなす多層配線は、コンタクト、ビア、ライン、プラグ及び他の特徴部を含む高アスペクト比の特徴部を有する。そのため、VLSI及びULSIのできや個々の基板に対する集積回路密度、品質及び信頼性を向上させるための継続的な努力に対しては、それらの特徴部の信頼度の高い形成が重要である。従って、高アスペクト比を有するボイドが無いサブクォータ・ミクロン特徴部、例えば、約4:1以上の高さと幅の比を有する特徴部の形成の改良に注力されている相当な努力がずっと続いている。
[0003]元素状態のアルミニウム(Al)やアルミニウム合金は、アルミニウムの低抵抗性、二酸化シリコン(SiO)基板に対する優れた付着性、パターニングの容易さ、及び安価なコストで使用できる比較的高純度の結果として、従来、ライン、プラグ、及び集積回路半導体処理技術における他の特徴部を形成するための導電材料として使用されてきた。しかし、アルミニウムは、比較的高い抵抗性や貧弱なエレクトロマイグレーション特性という欠点を有する。そのため、電気的配線の幅は、サブクォータ・ミクロン範囲に狭くなるので、アルミニウムの抵抗及びエレクトロマイグレーション特性は、アルミニウム配線特徴部を用いて形成した集積回路のRC遅延特性に対して有害な影響を及ぼす。アルミニウムの欠点の結果として、銅や銅合金は、アルミニウムよりも低い抵抗性を有し、そのため、良好な遅延特性を有するRC回路を形成するので、最近は、銅及び銅合金が、集積回路におけるサブクォータ・ミクロンの高アスペクト比配線特徴部を満たす最適な金属になってきている。
[0004]しかし、集積回路製造に銅を使用することに伴う問題は、従来の半導体処理技術の場合、銅が、高アスペクト比の特徴部に容易に堆積しないということである。例えば、物理気相堆積(PVD)技術は、銅を堆積するのに用いることができるが、PVD銅堆積は、高アスペクト比の特徴部で適切な底部充填を得る際に困難を伴うことが知られている。また、化学気相堆積(CVD)は、銅を堆積するのに用いることができるが、CVDは、一般に不安定である前駆体の使用に加えて、低堆積速度、すなわち低スループットという欠点を有する。
[0005]従って、銅を、集積回路の高アスペクト比の特徴部に高い信頼度で堆積させる装置に対する要求がある。
発明の概要
[0006]本発明の実施形態は、一般に、基板をメッキする方法及び装置を提供する。本発明の例示的なメッキ装置は、少なくとも1つの基板移送ロボットが中に位置決めされている中央基板移送エンクロージャを含む。該中央基板移送エンクロージャと連通する基板活性化チャンバが設けられており、該チャンバは、該少なくとも1つの基板移送ロボットに接近可能である。該中央基板移送エンクロージャに連通する基板メッキチャンバが設けられており、該チャンバは、該少なくとも1つの基板移送ロボットに接近可能である。上記中央基板移送エンクロージャに連通する基板スピン・リンス・ドライ・チャンバが設けられており、該チャンバは、上記少なくとも1つの基板移送ロボットに接近可能であり、また、上記中央基板移送エンクロージャに連通するアニールチャンバが設けられており、該チャンバは、上記少なくとも1つの基板移送ロボットに接近可能である。基板移送チャンバと連通し、かつ上記少なくとも1つの基板移送ロボットに接近可能である少なくとも1つの基板ポッドローダも設けられている。
[0007]また、本発明の実施形態は、中央移送エンクロージャと、上記基板移送エンクロージャの第1の領域に位置決めされた第1の基板移送ロボットと、該基板移送エンクロージャの第2の領域に位置決めされた第2の基板移送ロボットとを含む半導体メッキ装置を提供する。上記基板移送エンクロージャの第1の領域と連通する第1の基板ポッドローダが設けられており、また、該基板移送エンクロージャの第2の領域と連通する第2の基板ポッドローダも設けられている。上記基板移送エンクロージャの第1の領域と連通する活性化エンクロージャが設けられ、また、該基板移送エンクロージャの第1の領域と連通する基板メッキエンクロージャが設けられている。上記基板移送エンクロージャの第2の領域と連通する基板スピン・リンス・ドライ・エンクロージャが設けられ、また、該基板移送エンクロージャの第2の領域と連通する基板アニール・エンクロージャが設けられている。基板ハンドオフが設けられ、上記基板移送エンクロージャに連通して位置決めされ、かつ上記第1の領域及び第2の領域に連通している。
[0008]また、本発明の実施形態は、金属を基板上にメッキする方法を提供し、該方法は、基板移送エンクロージャ内に位置決めされた第1の基板移送ロボットを用いて、第1のポッドローダ位置から基板を持ってくる工程と、活性化プロセスのために、該基板を、上記第1の基板移送ロボットを用いて、該基板移送エンクロージャと連通する活性化チャンバへ移送する工程とを含む。また、該方法は、上記第1の基板移送ロボットを用いて、上記基板を上記活性化チャンバから移動させることと、メッキプロセスのために、該基板を、上記基板移送エンクロージャと連通するメッキエンクロージャへ移送することと、該基板を、該第1の基板移送ロボットによって該メッキエンクロージャから移動させることと、該基板を、該基板移送エンクロージャ内のハンドオフ位置に位置決めすることとを含む。更に、上記方法は、該基板移送エンクロージャ内に位置決めされた第2の基板移送ロボットを用いて、該基板を上記ハンドオフ位置から持ってくることと、該基板を、リンス及びドライプロセスのために、上記基板移送エンクロージャと連通するスピン・リンス・ドライ・エンクロージャへ移送することと、該基板を、上記第2のロボットを用いて、該スピン・リンス・ドライ・エンクロージャから移動させることと、該基板を、アニールプロセスのために、該基板移送エンクロージャと連通するアニールチャンバへ移送することとを含む。一旦、アニールプロセスが完了すると、上記方法は、上記第2の基板移送ロボットを用いて該基板を、該基板移送エンクロージャと連通する第2のポッドローダへ移送する工程を含む。
[0009]本発明の上述した特徴、効果及び目的が達成され、かつ詳細に理解できるように、すでに簡単に要約した本発明のより具体的な説明を、添付図面に示した本発明の実施形態に対して行なう。しかし、該添付図面が、本発明の典型的な実施形態のみを示し、そのため、その範囲を限定して考えるべきではないことに注意すべきであり、本発明は、他の同様の有効な実施形態を認めてもよい。
好適な実施形態の詳細説明
[0020]本発明は、一般に、半導体基板上に形成された高アスペクト比の特徴部を有する半導体基板に銅をメッキするように構成された無電界メッキ装置を提供する。図1は、本発明の例示的なメッキシステム100を示し、メッキシステム100は、その中に位置決めされた少なくとも1つの基板移送ロボット102を有する中央基板移送チャンバ101を含む。基板ハンドオフ位置又は基板オリエンタ103を、ロボット102の間に位置決めしてもよい。各ロボット102は、少なくとも1つの基板ポッドローダ、少なくとも2つの基板処理チャンバ及び上記基板ハンドオフ位置に接近するように構成することができる。例えば、図1に示すように、第1の基板移送ロボット102は、エンクロージャ/チャンバ101の第1の領域、例えば、エンクロージャ101の右側に位置決めすることができ、また、第2の基板移送ロボットは、エンクロージャ101の第2の領域、例えば、エンクロージャ101の左側に位置決めすることができる。基板移送チャンバ101は、真空装置(図示せず)と連通していてもよく、またそれに伴って、基板の汚染を低減するために、低減された圧力で保持してもよい。別法として、チャンバ101は、大気圧で保持されるエンクロージャを備えてもよい。しかし、このエンクロージャ構造を用いる場合、基板エンクロージャ領域内の汚染要素を低減するために、空気ろ過装置を実装してもよい。またチャンバ101は、複数の開口部110、例えば、その周囲に位置決めされ、ロボット102を介して基板をチャンバ101から様々な処理チャンバ又は格納体へ連通させるスリットバルブを備えてもよく、該格納体は、開口部/バルブ110を介してチャンバ101に取り付けてもよい。
[0021]1つ又はそれ以上の基板ポッドローダ104、105は、基板移送チャンバ101と連通していてもよい。ポッドローダ104、105は、一般に、ロボット102が基板に接近できるように、例えば、基板カセットをその中に収容することにより、該基板を格納するように構成してもよい。従って、例えば、ポッドローダ104は、処理が指定されている新たな基板で満たされていてもよく、またポッドローダ105は、処理された基板をその中に収容するように構成された空のポッドローダであってもよい。処理チャンバ106は、無電界活性化チャンバとして構成されていてもよい。この構成において、チャンバ106は、無電界メッキの前の活性化処理のために、ロボット102によってポッドローダ104からその中に引き込まれた新たな基板を収容してもよい。処理チャンバ107は、無電界堆積チャンバとして構成してもよく、またそのため、チャンバ107は、ロボット102を介して活性化チャンバ106から基板を受取ってもよい。処理チャンバ108は、スピン・リンス・ドライ(spin rinse dry;SRD)・チャンバとして構成してもよく、またそのため、SRDチャンバ108は、メッキ処理後の基板のリンス及び乾燥のため、ロボット102を介して基板をメッキチャンバ107から受け取ってもよい。処理チャンバ109は、基板アニールチャンバとして構成してもよく、またそのため、アニールチャンバ109は、スピン・リンス・ドライ・プロセス後のアニールのために、SRDチャンバ108から基板を受取ってもよい。
[0022]システム100は、一般に、一体の装置/システムで基板を処理/メッキするように構成され、例えば、メッキすべき基板は、システム100内に入れられ、メッキされた基板は、該基板を他の処理チャンバ/システムへ移送することなく出力される。そのため、システム100は、全て一体の処理システム内で、メッキし、メッキする前に基板をリンスし、基板をメッキし、基板をスピン・リンス・ドライし、基板をアニールするために、基板を活性化することができる統合処理システムを表わす。一体の/統合化処理システム100は、汚染の低減、欠陥の割合の低減、及び従来のメッキ装置に優るスループットの向上を可能にする。更に、統合化/一体構成の結果として、システム100は、従来のメッキ装置よりもかなり小さい設置面積を利用する。このことは、低減された設置面積は、稼動コストを低減する、サポートシステム100に必要なクリーンルーム空間の低減をもたらすので、重要な利点である。
[0023]図2は、本発明の例示的なクリーン・イン・クリーン・アウト活性化チャンバ/エンクロージャ106を示す。活性化チャンバ/エンクロージャ106は、上部204と、側壁部206と先細りした又は傾斜した底部207とを有する処理コンパートメント202を含む。基板支持体212は、チャンバ106内の概して中心位置に配置されている。基板支持体212は、基板210を“フェースアップ”位置でその上に収容して固定するように構成された基板受容面214を含み、例えば、該基板の生産面は、該基板支持部材から離れて面している。受容面214は、基板受容面214の周囲近傍に形成された環状ドレイン溝(図示せず)を含んでもよい。該ドレイン溝は、該基板の縁部から流出する流体を基板支持部材212から離して流すように作用し、それにより、該流体との化学作用及びチャンバ汚染の可能性を最小化する。基板支持体212は、受容面214に設けられ、かつ真空源(図示せず)と連通する真空ポート224を含んでもよい。従って、真空ポート224は、基板210を基板支持体212に対して真空保持するために、基板210の裏面に負圧を供給するのに使用される。真空溝又は開口部226は、受容面214に形成してもよく、また、真空保持力を配分するために、真空ポート224と連通していてもよい。
[0024]基板支持体212は、アルミナAl、(アルミニウム又はステンレス鋼等の)テフロン(登録商標)をコーティングした金属、炭化ケイ素(SiC)、あるいは、半導体処理技術において既知の他の適切な材料等のセラミック材から製造することができる。本願明細書で用いるテフロンは、テフゼル(ETFE)、ヘーラー(ECTFE)、PFA、PTFE、FEP、PVDF等のフッ素化ポリマーに対する総称的な名称である。チャンバ106は、更に、例えば側壁部106を貫通して形成され、チャンバ106へ及び該チャンバから基板を供給しかつ持ってくるために基板移送ロボット102に対する接近を可能にするスリットバルブ208等の開口部を含んでもよい。代替の構成においては、基板支持体212は、基板支持部材212の下に配置されたリフト・アクチュエータ・アセンブリ216を介してチャンバ106に及び該チャンバからの接近を可能にするために、処理コンパートメント202の上部204を通して基板210を持ち上げてもよい。リフト・アクチュエータ・アセンブリ216は、その垂直方向の動作によって、基板支持部材212に形成された開口部220を通って基板210を選択的に持ち上げ又は下げるように構成されているリフトピン218を含んでもよい。そのため、リフトピン218は、チャンバ106からの移動のために、該チャンバの上部204からチャンバ106に接近するように構成された基板移送ロボット102によって、基板210を基板受容面214から持ち上げたり下げたりするように作動してもよい。
[0025]モータ222は、基板支持体212へ回転運動を伝達するために該基板支持体に接続することができ、該モータは、基板支持部材212上に位置決めされた基板210を回転させるように作動する。一実施形態においては、リフトピン218は、基板支持体112を、リフトピン218と無関係に回転させることができるようにするために、基板支持体212の下の低い位置に配置してもよい。別の実施形態においては、リフトピン218は、基板支持体212に付随して回転してもよい。基板支持体212は、その中に形成された抵抗性加熱体(図示せず)によって加熱してもよく、該加熱体は、基板210を所望の処理温度又は活性化温度まで選択的に加熱するように作動する。基板支持体212の基板受容面214は、基板210の均一な加熱を可能にするために、基板210の裏面を実質的に収容するように形成してもよく、このことは、最初のウェーハの影響及び活性化チャンバ106のための始動時間を低減し、それに伴って、活性化プロセスの効率及びスループットを向上させることが知られている。
[0026]ノズル223等の流体供給部材又は装置は、チャンバ106内に配置してもよく、化学処理溶液、活性化溶液、脱イオン水、酸性溶液、あるいは、無電界メッキ活性化プロセスに用いることができるその他の化学溶液等の流体を、基板210の表面に配分するように構成することができる。ノズル223は、一般に、支持部材221の周りを回転移動可能な、旋回可能に取り付けられたディスペンスアーム222上に配置されている。従って、ノズル223は、支持部材221の軸周りに旋回するようになっており、それにより、ノズル223を、基板210上の径方向に位置決めして、ディスペンスアーム222の半径に対応して円弧状に旋回できるようになっている。従って、ノズル223は、基板210の中心の真上の位置から、基板210の表面上の円弧を介して、基板210の周囲まで旋回することができる。また、ディスペンスアーム222は、図2では、チャンバ106の内部に取り付けられているように示されているが、本発明の実施形態は、該アーム及びノズルアセンブリは、チャンバ/エンクロージャ106の外部に取り付けてもよく、かつ同等の有効性を有するオープントップタイプの構造によって基板210上に活性化溶液を供給してもよいことを意図している。更に、ノズル223が、上記基板の中心の上方に位置決めされている場合、ノズル223は、該基板の中心から外方向に活性化流体を配分するように構成してもよい。ノズル223の構成は、活性化チャンバ106からの流出を最少化できるようにし、このことはまた、チャンバ106内でのCOO(所有コスト)問題も最少化する。
[0027]活性化溶液及びリンス溶液を含んでもよい、少なくとも1つの流体ソース228は、支持部材221の内部、アーム部材222及びノズル223を通る導管を介してノズル223に接続することができる。流量制御弁229は、流体混合比、濃度、又は活性化プロセスにおいて変化するその他の流体特性を選択的に変化させるために、流体ソース228と支持部材221の内部との間に接続してもよい。流体ソース228は、活性化溶液の混合比及びノズル223への流量を正確に管理するために、マイクロプロセッサをベースとした制御システム(図示せず)等の自動化システムによって制御してもよい。複数の流体ソース228の結果として、基板210は、リンスしてもよく、また、活性化溶液をチャンバ106内の該基板に加えてもよい。
[0028]基板支持部材212の回転は、モータ222への電力の選択的印加によって調節又は制御することができる。モータ216の調節又は制御は、処理方法、所定の回転シーケンス、ユーザの仕様、又は半導体処理チャンバ/エンクロージャ内の基板の回転を制御するのに使用可能な、その他のパラメータに従って、モータ216に電力を選択的に印加するように構成された、マイクロプロセッサをベースとした制御システム(図示せず)によって実施することができる。従って、基板支持部材212は、リンス溶液又は活性化溶液が、上記基板表面に供給されている間に、約5RPM〜約500RPM等の比較的遅い速度で回転することができる。この低速回転は、スピンオンプロセスと同じように、上記基板の表面全域での有効なリンス及び上記活性化溶液の均一な塗布を容易にするように作用する。リンス又は活性化溶液の供給プロセスに続いて、基板支持部材212は、スピンドライプロセスと同じように、上記基板表面から過剰な活性化溶液又はリンス溶液を除去するために、高速の回転速度で回転させてもよい。これらの高速の回転速度は、必要に応じて10,000RPM程度であってもよい。また、基板支持体212は、必要に応じて、基板210の表面全域での活性化溶液の均一な塗布を更に容易にするために、スピン方向を逆にするか、又は回転方向を交互に変えるようになっていてもよい。チャンバ106は、更に、チャンバ106の下方又は底部に位置決めされたドレイン227を含む。ドレイン227は、底部207の先細りした/傾斜した部分から流出する流体(活性化溶液又はリンス溶液)を集めるように作用する。従来の活性化処理構成は、該活性化溶液を一度使用するのみであり、例えば、使用された活性化流体は再生利用又はリサイクルされないが、ドレイン227は、追加的な活性化プロセスでの使用のために、チャンバ106内で使用された活性化流体を再生するように構成された活性化流体再生又はリサイクリング装置と連通していてもよい。
[0029]図3Aは、本発明の例示的なフェースアップタイプのメッキチャンバ/エンクロージャ107の実施形態を示す。例示的なメッキチャンバ300は、図2に示す活性化チャンバ106と構造が同じであり、例えば、メッキチャンバ300は、底部と、側壁部と、上部と、スリットバルブと、該チャンバ内に位置決めされた中心に位置する基板支持部材301とを含む。基板支持部材301は、処理のために、その上で基板302を支持するように構成された上方基板受容面303を含む。基板受容面303は、基板受容面303上の中心に位置する真空開口部304を含む。真空開口部304は、真空源(図示せず)と連通していてもよく、また、図3Bに示すように、真空開口部304の周辺部に位置決めされた環状真空シール305を含んでもよい。環状シール305は、一般に、処理のために、基板302を基板受容面303に対して真空保持するために、基板302の底部又は裏面を密封可能に係合するように構成されている。基板支持部材301は、環状シール305から外側径方向に位置決めされた真空シール306も含んでもよい。真空シール306は、環状シール305と協働して、環状シール304と真空シール306との間の領域の基板302の裏面に、負圧の領域を形成する。負圧からなるこの領域は、基板302を基板受容面303に対して真空保持するように作用する。
[0030]基板受容面303は、更に、基板支持部材301の受容面303の周囲に近接して、真空シール306の径方向外側に位置決めされた液体シール307を含む。液体シール307は、一般に、基板302上に投与された流体を、基板支持部材301から離して流すように作用し、その結果、供給された流体と該基板支持部材との間の化学的反応は最少化され、それに伴って、チャンバ/エンクロージャの汚染問題が低減される。基板支持部材301は、更に、基板支持部材301の内部又は上記基板受容面上に配置されたヒータ316を含んでもよい。ヒータ316は、例えば、基板支持部材301の内部に形成された抵抗加熱体であってもよく、該ヒータには、基板支持部材301の底部から伸びる電気的接点308を介して電力を供給することができる。別法として、基板支持部材301は、基板支持部材301に熱を与えるために、加熱された流体が、流体流路を通って流れることができるように、該部材に形成された該流体流路(図示せず)を有してもよい。また、特定のメッキ工程が必要な場合には、冷却された流体を該流路に流すことにより、該流体流路を、基板支持部材301を冷却するのに使用してもよい。基板支持部材301の下方部分は、基板302を基板受容面303の上で持ち上げるために、リフトピン309を作動させるように構成されたリフトアセンブリ310を含む。また、モータ311は、基板支持部材301に回転運動を伝えるために、該基板支持部材と連通している。
[0031]メッキチャンバ300は、更に、基板支持部材301の周囲に近接して位置決めされた流体投与装置312を含む。流体投与装置312は、一般に、基板302の表面上に伸びるアーム部314に接続するベース部材313を含む。アーム部314の終端部は、基板302の表面にメッキ流体を一定量供給するように構成された流体投与ノズル315を含む。流体投与ノズル315は、アーム314及びベース部材313の中空内部と連通している。アーム及びベース部材の中空内部は、メッキ流体供給装置317と連通している。図2に示した活性化チャンバ106の流体供給システムと同様の流体供給システム317は、通常、複数の流体ソース319及び流体制御弁318を含む。従って、流体供給システム317は、アーム314及びベース部材313の中空内部を介して投与ノズル315にメッキ溶液を供給し、該メッキ溶液は、いくつかの流体ソース319の内容物の組み合わせであってもよい。
[0032]図4Aは、本発明の無電界メッキチャンバの代替の実施形態の断面図を示す。メッキチャンバ400も、図2及び3に示すチャンバと同様に、側壁部を有するチャンバ・エンクロージャと、底部と、上部とを含む。また、メッキチャンバ400は、回転可能に取り付けられた基板支持部材401と、流体供給アームアセンブリ402とを含む。そのため、チャンバ400も、メッキプロセスのために、フェースアップ構成で基板を支持する。しかし、チャンバ400は、基板支持部材401の真上に位置決めされたメッキ蒸発用シールド403を追加的に含む。メッキ蒸発用シールドは、チャンバ400のふた部(図示せず)に、あるいは、蒸発用シールドを、処理位置と基板ローディング位置との間で移動させるように構成されているアクチュエータアセンブリ(図示せず)に取り付けてもよい。回転可能に取り付けてもよいメッキ蒸発用シールド403は、通常、ディスク状下方部410に取り付けられた中空上方部409を含む。中空上方部409は、該上方部を介して流体投与アームアセンブリ402から受取ったメッキ流体を、基板支持部材401上に位置決めされた基板の表面に送るように構成されており、そのため、該中空上方部は、メッキ流体を流体ソースから該基板表面へ流すメッキ流体供給流路として作用する。ディスク状下方部410は、一般に、ディスク状下方部410の軸に沿って該部材の中に形成された穴部を含み、該穴部は、中空上方部409と流体的に連通している。ディスク状下方部410の下面は、実質的に平坦になるように、かつ基板支持部材401上に位置する基板の上面と平行方向に位置決めされるように構成されている。そのため、流体投与アームアセンブリ402によって供給された流体は、中空内部409に収容されて、該中空内部を通って、図4Cに示すように、処理されている基板の上面と、ディスク状下方部材410の下面とによって形成された空間408へ送られる。該流体が空間408を充たすため、メニスカス407が、処理されている基板の周囲及びディスク状下方部材410の近傍に形成される。そのため、蒸発用シールド403は、基板支持部材401に対して回転してもよく、その結果、領域408内に含まれるメッキ流体は、乱流効果によって循環させられる。しかし、領域408内のメッキ流体が循環しているため、メニスカス407は、該流体を領域408内に維持し、そのため、メッキプロセス中に、領域408に追加的な流体を付加する必要はない。また、蒸発用シールド403は、反対方向に回転するように、あるいは、基板支持部材401に対して揺動させるように構成してもよく、それにより、領域408内に含まれるメッキ流体の乱流効果が増大される。
[0033]上述したように、メッキ蒸発用シールド403は、そこに基板を挿入する、あるいは、そこから基板を移動させるために、処理位置、例えば、ディスク状部材410の下面が、基板支持部材401に近接している位置と、ローディング位置、例えば、基板移送ロボットが、チャンバ400への接近を実行する位置との間で移動するように構成することができる。該処理位置において、メッキ蒸発用シールドは、処理されている基板の上面からディスク状部材410の下面までの距離が、例えば、約0.5mm〜5mmであるように位置決めしてもよい。しかし、上記基板と、蒸発用シールド403との間隔を、例えば、約10mmまで増大させてもよいことが意図されている。とにかく、蒸発用シールド403と基板支持部材401との間の間隔は、メッキ流体が領域408に導入されて、各部材が回転されたときに、メニスカス407を維持できるように構成される。また、基板支持部材401は、図4Bに示すように、基板支持部材401の周辺に近接して位置決めされた環状ガス流路405を含んでもよい。ガス流路405は、ガスソース(図示せず)と連通していてもよく、またそのため、その裏面で処理されている基板の縁部の近傍にガスシールド又はガスシールを生成するために用いてもよい。すなわち、処理されている基板の前面に供給されたメッキ流体は、該基板の裏面に移動すること、及びガス流路405からの外部へのガス流による該基板上でのメッキを防ぐことができる。更に、基板支持部材401は、基板支持部材401の周囲に近接して、ガス流路405の径方向外側の基板支持部材401の上面に形成された環状流体排出流路406を含んでもよい。流体排出流路406は、処理されている基板の縁部の真下に位置決めしてもよく、それに伴って、流体排出流路406は、上記基板の縁部からのいかなる流体のあふれ出しも収容してもよい。そして、流体排出流路406は、該流路に収容した流体を、基板支持部材401を介して、そこから排出されるチャンバ401の下方部へ流してもよい。
[0034]図4Aに示すメッキチャンバ400の代替の実施形態においては、蒸発用シールド403は、図4Dに示すように、外側流体シール411を含むように変更してもよい。外側流体シール411は、蒸発用シールド410と上記基板との間の領域に供給された流体を保持するように作用する。シール411は、蒸発用シールド403に取り付けてもよく、その結果、シール411も、処理位置と基板ローディング位置との間で移動可能になる。シール411は、蒸発用シールド403に対する基板支持部材401の回転速度が、メッキ流体を領域408内に保持するメニスカス力に打ち勝つのに十分高い構成において有用である。この構成において、シール411は、除外ゾーン領域で処理されている基板の縁部に当接するように構成してもよく、その結果、領域408内の流体は、該基板の縁部に近接して位置決めされた流体ドレインへ移動することができない。別法として、シール411は、該基板の縁部に近接して位置決めしてもよく、また該基板の表面に物理的に係合すべきではない。また、外側シール411は、メッキ流体が、基板支持部材401の縁部上を流れるのを防ぐのに用いることができ、例えば、外側シール411は、オーバーフローしたいかなる流体も、外側シール411よりも径方向内側に位置決めされた環状流体ドレイン406内に流すために用いることができる。
[0035]図5Aは、メッキチャンバ107の代替の実施形態を示す。代替のメッキチャンバ500は、一般に、上からの基板を支持すると共に、該基板を、処理のためにメッキチャンバ502内で吊り下げるように構成されたヘッドアセンブリ501を含む。そのため、この構成においては、上記基板は、通常、フェースダウン構成で支持され、例えば、形成面は、下向き方向に上記支持部材から離れて面しており、その結果、上記メッキ溶液は、メッキチャンバ502内の基板へ該基板の下から供給することができる。基板は、ヘッドアセンブリ501の垂直方向の動きによって、チャンバ502内に配置され、かつチャンバ502から移動させることができる。ヘッドアセンブリ501の下方部は、一般に、基板支持部材503の下面504で基板を保持するように構成された、回転可能に取り付けられた基板支持部材503を含む。下面504は、真空チャックアセンブリ、機械的チャックアセンブリ、あるいは、基板をそこに固定するように構成された他の装置/アセンブリを含んでもよい。メッキチャンバ502は、メッキチャンバ502内の中央に位置決めされた、実質的に平坦なボウル部507を含む。ボウル部507は、中央に配置された流体注入/回収ポート506を含む。ポート506は、メッキ流体供給部(図示せず)と連通していてもよく、また、該流体供給部からボウル部507へメッキ流体を供給するように構成してもよい。また、ポート506は、メッキ流体再生装置(図示せず)と選択的に連通してもよいので、再生ポートとして使用することもできる。
[0036]動作中、メッキ装置500は、基板支持部材503の下面504に基板を収容してもよい。基板支持部材503による該基板の収容は、上昇位置の上記ヘッドアセンブリによって、例えば、該基板支持部材を、チャンバ502の上部から上げた状態で実行してもよい。この位置において、図1に示す移送ロボット102等の基板移送ロボットは、該ロボットが上昇位置にあるときに、基板支持部材503の近傍に基板を移動させるのに用いてもよい。基板が、一旦、基板支持部材503の近傍に持ってこられると、真空源(図示せず)を下面504と連通させることができ、またそれに伴って、該基板を下面504に対して真空保持することができる。該基板が、一旦、基板支持部材503に対して固定されると、ヘッドアセンブリ501は、基板支持部材503を処理位置に下げる。例えば、該処理位置は、下面504が、ボウル部507から、約1mm〜約10mmにある位置であってもよい。該基板が、一旦、処理位置に入ると、メッキ流体をポート506を介して供給することができる。該メッキ流体は、該基板とボウル部507との間の領域505を満たすように作用する。該溶液が、一旦、領域505を満たすと、基板支持部材503は、メッキプロセスを容易にするように、回転及び/又は揺動させてもよい。更に、図4Aに示すメッキチャンバと同様に、該メッキ溶液は、メニスカスによって領域505内に保持することができ、あるいは、別法として、外側シール構成を用いてもよい。更にまた、該メッキ溶液は、ポート506から連続的に投与してもよく、かつメッキプロセス中に、ボウル部507上を外側へ流してもよい。
[0037]基板が、一旦、メッキチャンバ107内で処理されると、使用する特定のメッキ構成にかかわらず、該基板は、基板移送ロボット102によって該チャンバから取り除かれて、スピン・リンス・ドライ・チャンバ108へ移送される。上記基板のメッキチャンバ107からスピン・リンス・ドライ・チャンバ108への移送は、第1の移送ロボット102によって該基板を、オリエンタ/ハンドオフ位置103を介して第2の移送ロボット102へハンドオフすることを含んでもよい。スピン・リンス・ドライ・チャンバ108は、一般に、上記基板支持部材の上方に位置決めされた流体供給装置を有する、回転可能に取り付けられた基板支持部材を含む。すなわち、上記基板は、該基板支持部材に固定することができ、高速度で回転させることができ、また、リンス流体を該基板の表面に投与することもできる。回転運動の遠心力は、該リンス溶液によって吸収された表面汚染物質と共に、該表面に供給されたリンス溶液を、該基板の周囲及び縁部上に押し流して流体ドレイン内に流すように作用する。該リンス溶液の該基板表面上への流れは、終わらせてもよく、また、該基板は、上記基板支持部材によって高速度で回転させ続けてもよく、それに伴って、該リンス溶液の残留物を乾燥効果で、該基板から排除できる。
[0038]上記基板が、一旦、チャンバ108内でリンスされて乾燥されると、該基板は、基板移送ロボット102によってチャンバ108から移動させて、アニールチャンバ109へ移送することができる。アニールチャンバ109は、アニールプロセスのために、その上に基板を収容して保持するように構成された基板支持部材を含んでもよい。チャンバ109は、更に、例えば、チャンバ109内の温度を、所定時間内に所定のレベルまで上昇させるのに用いることができる、抵抗性加熱体又は加熱ランプ等の加熱装置を含んでもよい。チャンバ109内の温度の上昇は、チャンバ109内の該基板支持部材上に位置決めされた基板をアニールするように作用する。該基板のアニールプロセスが、チャンバ109内で終了すると、基板移送ロボット102は、アニールされた基板をチャンバ109から移動させて、該基板を基板ポッドローダ105へ移送する。
[0039]動作中、本発明の例示的なメッキシステム100は、例えば、サブクォータミクロンサイズの特徴部を基板上に形成させた基板上に銅をメッキするために使用することができる。該サブクォータミクロンサイズの特徴部を基板上に形成させた基板は、ポッドローダ104を介した基板移送チャンバ101への選択的な取付けによって、システム100と連通させることができるカセット内に格納することができる。ポッドローダ104が、一旦該カセットを収容すると、ロボット102は、システム100内での処理のために、ポッドローダ104から個々の基板を捜して持ってくるように作動する。ロボット102は、例えば、ポッドローダ104から基板を持ってきて、該基板を活性化チャンバ106へ移送してもよい。一般に、ポッドローダ104からの基板の移動は、チャンバ101と基板ポッドローダ104との間に位置決めされたスリットバルブ110を開くことを含む。同様に、ポッドローダ104から移動された基板が、活性化チャンバ106内に導入される場合、活性化チャンバ106と基板移送チャンバ101との間のスリットバルブ又は他の種類のバルブは、該基板をチャンバ106内に導入できるように開くことができる。該基板が、一旦、活性化チャンバ106内に位置決めされると、スリットバルブ110は、活性化チャンバ106を移送チャンバ101から隔離するために閉じることができる。
[0040]上記基板が、一旦、基板支持部材212上に位置決めされると、該基板は、開口部224を真空にすることによって、基板受容面214に対して真空度をチェックすることができる。該基板が、基板支持部材212に対して一旦、チェックされると、基板支持部材212は、モータ222によって回転することができる。該基板が回転すると、流体投与ノズル223は、リンス溶液を該基板の表面に投与する。その後、活性化溶液を、該基板表面に投与してもよい。該リンス溶液及び活性化溶液は、共に、流体貯蔵ユニット228の組合せ内に貯蔵し、バルブ229の選択的な作動によって、上記基板表面に選択的に混合して供給することができる。基板支持部材212の回転は、上記溶液が、基板支持部材212の回転によって径方向外側へ押し流されるため、該基板上に投与された溶液を、該基板の表面の全域にわたって均一に配分することを可能にする。外側へ押し流された溶液は、基板支持部材212の縁部から流出するか、又は、基板支持部材212の周辺部に位置決めされた環状流体ドレイン内に収容される。そして、該溶液は、チャンバ106の底部207に流れて、捕捉及びリサイクルのための流体ドレイン227内に収容される。上記活性化溶液が、一旦、上記基板表面に投与されると、リンス溶液を、再び該基板表面に加えることができる。
[0041]活性化プロセスが終了すると、上記基板は、基板移送ロボット102によって、活性化チャンバ106から、移送チャンバ101と活性化チャンバ106との間のスリットバルブ110の開口部を介して移動させることができる。その後、ロボット102は、メッキチャンバ107と移送チャンバ101との間に位置決めされた別のスリットバルブ110を介して、該基板をメッキチャンバ107へ移送してもよい。図3Aに示すメッキチャンバ107の実施形態を用いると仮定すると、上記基板は、ロボット102によって基板支持部材301上に位置決めすることができる。そして、該基板を基板支持部材301に対して真空保持するために、該基板の裏面を真空にしてもよい。その後、基板支持部材301は、モータ311の作動によって回転させることができ、また、流体投与ノズル315によってメッキ溶液が、該基板表面に一定量供給される。該溶液供給プロセス中の上記基板支持部材の回転速度は、例えば、約50RPM〜約2000RPMの範囲にすることができる。基板支持部材301の回転は、上記メッキ溶液を、該基板の表面の全域で径方向外側へ移動させ、またそれに伴って、該メッキ溶液は、基板支持部材301の縁部から流出して、チャンバ300の流体ドレイン内に捕捉される。該流体ドレインは、後の使用のために電解質溶液を補給するように構成された電解質溶液補給装置と連通させてもよい。上記メッキプロセスが終了すると、上記基板は、該メッキチャンバから取り除くことができる。該基板のメッキチャンバ300からの除去は、メッキされた基板を回収するために、基板移送ロボット102をチャンバ300内に移動させることを含む。該回収プロセスは、リフトピン309の作動を含んでもよく、その結果、ロボット102は、チャンバ300からの移動のために、該メッキされた基板の下面を係合することができる。ロボット102が、一旦、該基板を上記メッキチャンバから回収すると、該基板は、スピン・リンス・ドライ・チャンバ108へ移送することができる。該基板をスピン・リンス・ドライ・チャンバ108へ移送することは、ハンドオフステーション/オリエンタ103を介して、該基板を第1の基板移送ロボットから第2の基板移送ロボットへハンドオフすることを含む。
[0042]あるいは、図4Aに示すメッキチャンバを使用する場合、わずかに異なるメッキ方法を用いてもよい。例えば、チャンバ400を使用すると、作動シールド403は、まず、基板ローディング位置に移動され、その結果、基板を、チャンバ400内の基板支持部材401上に位置決めすることができる。該基板が基板支持部材401上に位置決めされると、蒸発用シールド403を処理位置に移動させることができる。位置決め処理は、例えば、蒸発用シールド403の下面404を、上記基板表面の上の約3〜5mmのところに位置決めすることを含む。別法として、この間隔は、約1mm〜10mmの範囲であってもよい。該蒸発用シールドが処理位置にある場合、上記メッキ溶液は、流体ディスペンスアーム402によって蒸発用シールド403の内部に供給することができる。蒸発用シールド403は、該メッキ溶液を、上記基板と蒸発用シールド403との間の領域408へ流す。計算された量のメッキ溶液を流体ディスペンスアーム402によって、投与してもよく、その結果、領域408が満たされて、メニスカス407が、メッキすべき上記基板の略周辺部を形成する。領域408が満たされ、かつメニスカス407が形成されると、基板支持部材401及び蒸発用シールド403は、互いに対して回転させてもよい。それぞれの部材の回転は、基板支持部材401のみを回転させること、蒸発用シールド403のみを回転させること、又は基板支持部材401及び蒸発用シールド403の両方を回転させることを含んでもよい。更に、該回転は、本質的に往復揺動するように、各部材の方向を逆にすることを含んでもよい。とにかく、各部材の回転は、領域408内のメッキ溶液を循環させ、またそれに伴って、メッキを容易にする。しかし、メニスカス407が、該メッキ溶液を領域408内に保持するため、該循環プロセス中に、追加的なメッキ溶液の導入は、一般に必要ない。従って、図示したメッキチャンバ400は、上記基板表面上にメッキ流体を継続的に供給するように構成された従来の装置よりも実質的に少ないメッキ溶液を使用する。
[0043]チャンバ400内でのメッキプロセスが終了したら、基板支持部材401の回転を増加させてもよく、その結果、該回転によって生じた遠心力は、メニスカス力にうちかつ。これにより、領域408内のメッキ溶液が、外側へ押し流されて、上記流体ドレインによって捕捉されることになる。そして、蒸発用シールド403を、ローディング位置へ移動させてもよく、その後、上記基板をスピン・リンス・ドライ・チャンバ108であってもよい、メッキプロセスにおける次のチャンバへの移送のために、ロボット102によってチャンバ400から移動させてもよい。
[0044]上記基板が、スピン・リンス・ドライ・チャンバ108内に位置決めされると、該基板は、通常、回転可能に取り付けられた基板支持部材に保持される。次いで、該基板支持部材が回転され、リンス溶液が、該基板の表面に投与される。該基板支持部材の回転速度は、約30rpm〜約10,000rpmの範囲であってもよいが、約10rpmまで下げ、また、約30,000rpmまで高くしてもよい。該回転速度にかかわらず、上記リンス溶液は、上記基板の表面の全域で外側へ押し流され、またそれに伴って、該リンス溶液は、残留メッキ溶液、又は、該基板表面に存在する付着していない粒子を洗い流すように作用する。その後、上記リンス溶液の供給は終了してもよく、上記基板の回転は続けられる。該基板支持部材の継続された回転は、該リンス溶液の残りを該基板表面から押し流すように作用し、それによって該基板表面を乾燥させる。該基板支持部材のリンス及び乾燥プロセス中の回転速度は、例えば、約2,000rpm〜約10,000rpm又はそれ以上の範囲内で、リンスプロセスの回転速度より増加させてもよい。
[0045]上記リンス及び乾燥プロセスが完了したら、上記基板を、アニールチャンバ109へ移送してもよい。アニールチャンバ109内において、上記基板は、基板支持部材上に位置決めしてもよく、チャンバ109内の温度は、所定時間、所定の温度まで上げられる。この所定温度及び期間は、半導体処理方法に従って決めることができ、該温度及び期間は、メッキチャンバ107内の基板上にメッキされた層を十分アニールするように計算される。
[0046]上記アニールプロセスが完了したら、上記基板を、移送ロボット102によってアニールチャンバから移動させて、基板収容ポッドローダ105内に位置決めしてもよい。一般に、基板収容ポッドローダ105及び基板ポッドローダ104は、移送チャンバ101から選択的に着脱することができるカセットを収容するように構成されたポッドローダである。そのため、メッキすべき基板は、ポッドローダ104内に置かれたカセットによって、システム100内に導入することができ、また、完成した又はメッキされた基板は、ポッドローダ105によってシステム100から移動させることができる。
[0047]本発明の他の実施形態においては、メッキチャンバ107及びスピン・リンス・ドライ・チャンバ108は、一体のチャンバにしてもよい。この実施形態においては、該一体チャンバは、第1段階において、回転して、メッキ溶液を基板上に供給し、その後、第2の段階において、該基板を高速度で回転させると共に、リンス溶液を投与して、該基板をスピン乾燥させてもよい。しかし、上記メッキチャンバとリンス/ドライチャンバを兼用した場合、リンス溶液がメッキ溶液と混合することになるため、該メッキ溶液を回収することはますます困難になる。従って、この兼用チャンバの実施形態を実施する場合、通常、該メッキ溶液は、再利用されない。しかし、メッキ溶液をリンス溶液から分離するために、該チャンバの排出部に独立した装置を実装して、該メッキ溶液の回収を可能にすることも意図されている。
[0048]上述したことは、本発明の実施形態に注目しているが、本発明の他の及び別の実施形態は、本発明の基本的な範囲を逸脱することなく考案することができ、本発明の範囲は、添付クレームによって限定される。
本発明に係る例示的なメッキ装置構成を示す。 本発明に係る例示的な活性化チャンバを示す。 本発明に係る例示的なフェースアップタイプの無電界メッキチャンバを示す。 図3Aに示す実施形態に示した基板支持部材の中心部の断面図を示す。 本発明に係る例示的なメッキチャンバを示す。 図4Aに示した例示的なメッキチャンバの基板支持部材の外側部分の詳細な断面図を示す。 メッキプロセス中の、図4Aに示す基板支持部材及び例示的なメッキチャンバの蒸発用シールドの例示的な断面図を示す。 本発明に係る例示的なメッキチャンバの基板支持部材、蒸発用シールド及び外部シールの例示的な断面図を示す。 本発明に係るメッキ装置に実装してもよい例示的なフェースダウンメッキ装置を示す。 図5Aに示すメッキチャンバの実施形態の例示的な基板支持部材及びメッキシールドの断面図を示す。
符号の説明
100…メッキ装置、101…中央基板移送チャンバ、102…基板移送ロボット、103…基板オリエンタ、104…基板ポッドローダ、105…基板ポッドローダ、106…処理チャンバ、107…処理チャンバ、108…処理チャンバ、109…処理チャンバ、110…開口部、202…処理コンパートメント、204…上部、206…側壁部、207…底部、208…スリットバルブ、210…基板、212…基板支持体、214…基板受容面、216…リフト・アクチュエータ・アセンブリ、218…リフトピン、220…開口部、221…支持部材、222…モータ、223…ノズル、224…真空ポート、226…真空溝又は開口部、227…ドレイン、228…流体ソース、229…流量制御弁、300…メッキチャンバ、301…基板支持部材、302…基板、303…上方基板受容面、304…真空開口部、305…環状真空シール、306…真空シール、307…液体シール、308…電気的接点、309…リフトピン、310…リフトアセンブリ、311…モータ、313…ベース部材、314…アーム部、315…流体投与ノズル、316…ヒータ、317…メッキ流体供給装置、318…流体制御弁、319…流体ソース、400…メッキチャンバ、401…基板支持部材、402…流体供給アームアセンブリ、403…メッキ蒸発用シールド、404…下面、405…環状ガス流路、406…環状流体排出流路、407…メニスカス、408…空間、409…中空上方部、410…ディスク状下方部、411…外側流体シール、500…メッキチャンバ、501…ヘッドアセンブリ、502…メッキチャンバ、503…基板支持部材、504…下面、505…領域、506…流体注入/回収ポート、507…ボウル部、

Claims (18)

  1. フェースアップ構成で基板を支持するように構成された回転可能な基板支持部材と、
    前記回転可能な基板支持部材と略等しい径と、実質的に平坦な下面とを有する蒸発用シールドであって、前記回転可能な基板支持部材の上方に選択的に位置決めされ、それを介してその下面に処理溶液を流すために、該シールド内に形成された少なくとも1つの穴部を有する蒸発用シールドと、
    処理流体を、前記少なくとも1つの穴部を介して基板上に投与するように構成された流体供給アセンブリと、
    を備える、半導体処理装置。
  2. 前記蒸発用シールドの下面が、処理位置における前記基板支持部材から約1mm〜約5mmのところに位置決めされている、請求項1に記載の半導体処理装置。
  3. 前記蒸発用シールドが、基板処理位置と基板ローディング位置との間を選択的に移動可能である、請求項1に記載の半導体処理装置。
  4. 前記蒸発用シールドが、前記基板の表面と、前記蒸発用シールドの下面とで画定された処理領域内に、前記処理流体を外側シール部材を用いて保持するように構成されている、請求項1に記載の半導体処理装置。
  5. 前記蒸発用シールドが、前記処理流体をメニスカス力によって前記処理領域内に保持するように構成されている、請求項4に記載の半導体処理装置。
  6. 前記蒸発用シールドが、選択的に回転可能である、請求項1に記載の半導体処理装置。
  7. 中央移送エンクロージャと、
    基板移送エンクロージャの第1の領域に位置決めされた第1の基板移送ロボットと、
    前記基板移送エンクロージャの第2の領域に位置決めされた第2の基板移送ロボットと、
    前記基板移送エンクロージャの第1の領域と連通する第1の基板ポッドローダと、
    前記基板移送エンクロージャの第2の領域と連通する第2の基板ポッドローダと、
    前記基板移送エンクロージャの第1の領域と連通する活性化エンクロージャと、
    前記基板移送エンクロージャの第1の領域と連通する基板メッキエンクロージャと、
    前記基板移送エンクロージャの第2の領域と連通する基板スピン・リンス・ドライ・エンクロージャと、
    前記基板移送エンクロージャの第2の領域と連通する基板アニール・エンクロージャと、
    前記基板移送エンクロージャ内に位置決めされ、かつ前記第1の領域及び第2の領域と連通する基板ハンドオフと、
    を備える、半導体メッキ装置。
  8. 前記活性化エンクロージャが、
    フェースアップ位置で基板を支持するように構成された、回転可能に取り付けられた基板支持部材と、
    前記基板支持部材の上に位置決めされ、かつ少なくとも1つの選択的に作動する流量制御弁を介して、活性化流体ソース及びリンス流体ソースのうちの少なくとも一方と流体的に連通する活性化流体供給アセンブリと、
    を備える、請求項7に記載の半導体メッキ装置。
  9. 前記メッキエンクロージャが、
    フェースアップ位置で基板を支持するように構成された回転可能な基板支持部材と、
    実質的に平坦な下面と、中に形成されたメッキ流体供給流路とを有する、回転可能に取り付けられた蒸発用シールドと、
    前記蒸発用シールドのメッキ流体供給流路に、メッキ流体を投与するように構成されたメッキ流体投与アセンブリと、
    を備える、請求項7に記載の半導体メッキ装置。
  10. 前記蒸発用シールドが、基板処理位置と基板ローディング位置との間で移動されるように構成され、該処理位置が、前記実質的に平坦な下面を、前記基板支持部材の上面近傍に位置決めすることを含む、請求項9に記載の半導体メッキ装置。
  11. 前記蒸発用シールドが、前記処理位置における前記基板支持部材から、約1mm〜約10mmのところに位置決めされるように構成されている、請求項9に記載の半導体メッキ装置。
  12. 金属を基板上にメッキする方法であって、
    基板移送エンクロージャ内に位置決めされた第1の基板移送ロボットを用いて、第1のポッドローダ位置から基板を持ってくることと、
    前記第1の基板移送ロボットを用いて前記基板を、活性化プロセスのために、前記基板移送エンクロージャと連通する活性化チャンバへ移送することと、
    前記第1の基板移送ロボットを用いて、前記活性化チャンバから前記基板を移動させて、該基板を、メッキプロセスのために、前記基板移送エンクロージャと連通するメッキエンクロージャへ移送することと、
    前記第1の基板移送ロボットを用いて、前記基板を前記メッキエンクロージャから移動させて、該基板を、前記基板移送エンクロージャ内のハンドオフ位置に位置決めすることと、
    前記基板移送エンクロージャ内に位置決めされた第2の基板移送ロボットを用いて、前記基板を前記ハンドオフ位置から持ってきて、該基板を、リンス及びドライプロセスのために、前記基板移送エンクロージャと連通するスピン・リンス・ドライエンクロージャへ移送することと、
    前記第2のロボットを用いて、前記スピン・リンス・ドライエンクロージャから前記基板を移動させて、該基板を、アニールプロセスのために、前記基板移送エンクロージャと連通するアニールチャンバへ移送することと、
    前記基板移送ロボットを用いて、前記基板を、前記基板移送エンクロージャと連通する第2のポッドローダへ移送することとを含む、方法。
  13. 前記活性化プロセスが、回転可能に取り付けられた基板支持部材上で、前記基板を回転させると共に、活性化流体を該基板表面に投与することを含む、請求項12に記載の方法。
  14. 前記メッキプロセスが、回転可能に取り付けられた基板支持部材上で、前記基板を回転させると共に、メッキ溶液を該基板表面に投与することを含む、請求項12に記載の方法。
  15. 前記メッキプロセスが、
    蒸発用シールドをローディング位置に位置決めすることと、
    前記基板を基板支持部材上に位置決めすることと、
    前記蒸発用シールドを処理位置に位置決めすることであって、該処理位置が、前記蒸発用シールドの下方平坦面を、前記基板から約1mm〜約10mmのところに位置決めするように構成されていることと、
    前記基板と前記蒸発用シールドとによって画定された処理領域にメッキ溶液を投与することと、
    前記蒸発用シールド及び前記基板支持部材のうちの少なくとも一方を回転させることにより、前記処理領域内のメッキ溶液を揺動することと、
    前記蒸発用シールドを前記ローディング位置に位置決めすることによって、前記基板を前記メッキエンクロージャから移動させて、前記第1の基板移送ロボットによって該基板を回収することとを含む、請求項12に記載の方法。
  16. 前記リンス及びドライプロセスが、前記基板を第1の回転速度で、回転可能な基板支持部材上で回転させると共に、該基板上にリンス溶液を投与することと、該リンス流体の投与を終了することと、該基板を第2の回転速度で回転させて、該基板を回転乾燥させることとを含み、前記第1の回転速度が、前記第2の回転速度よりも小さい、請求項12に記載の方法。
  17. 前記第1の基板移送ロボットが、前記基板移送チャンバの第1の領域に位置決めされ、かつ前記第1のポッドローダ、前記活性化エンクロージャ、前記メッキエンクロージャ、及び前記ハンドオフ位置に接近できるように構成されている、請求項12に記載の方法。
  18. 前記第2の基板移送ロボットが、前記基板移送チャンバの第2の領域に位置決めされ、かつ前記第2のポッドローダ、前記アニール・エンクロージャ、スピン・リンス・ドライ・エンクロージャ、及び前記ハンドオフ位置に接近できるように構成されている、請求項12に記載の方法。
JP2003558233A 2001-12-26 2002-12-20 無電界メッキシステム Pending JP2006501360A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/036,321 US6824612B2 (en) 2001-12-26 2001-12-26 Electroless plating system
PCT/US2002/040631 WO2003057943A2 (en) 2001-12-26 2002-12-20 Electroless plating system

Publications (2)

Publication Number Publication Date
JP2006501360A true JP2006501360A (ja) 2006-01-12
JP2006501360A5 JP2006501360A5 (ja) 2006-11-24

Family

ID=21887935

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003558233A Pending JP2006501360A (ja) 2001-12-26 2002-12-20 無電界メッキシステム

Country Status (5)

Country Link
US (1) US6824612B2 (ja)
JP (1) JP2006501360A (ja)
CN (1) CN1636081A (ja)
TW (1) TWI258190B (ja)
WO (1) WO2003057943A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010525166A (ja) * 2007-04-16 2010-07-22 ラム リサーチ コーポレーション ウエハ無電解めっきのための方法および装置
US8844461B2 (en) 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
JP2004081988A (ja) * 2002-08-27 2004-03-18 Seiko Epson Corp 製膜方法と製膜装置及びデバイス製造方法並びにデバイス製造装置
US20050022909A1 (en) * 2003-03-20 2005-02-03 Xinming Wang Substrate processing method and substrate processing apparatus
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
JP2005013787A (ja) * 2003-06-23 2005-01-20 Tokyo Electron Ltd 塗布成膜装置及び塗布成膜方法
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7368016B2 (en) * 2004-04-28 2008-05-06 Ebara Corporation Substrate processing unit and substrate processing apparatus
US8069813B2 (en) * 2007-04-16 2011-12-06 Lam Research Corporation Wafer electroless plating system and associated methods
US20060000109A1 (en) * 2004-07-03 2006-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for reducing spin-induced wafer charging
WO2006020566A1 (en) * 2004-08-09 2006-02-23 Blue29, Llc Methods for forming a barrier layer with periodic concentrations of elements and structures resulting therefrom and systems and method affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7644512B1 (en) 2006-01-18 2010-01-12 Akrion, Inc. Systems and methods for drying a rotating substrate
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US20080152823A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Self-limiting plating method
US7794530B2 (en) 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5284153B2 (ja) * 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
US9048088B2 (en) * 2008-03-28 2015-06-02 Lam Research Corporation Processes and solutions for substrate cleaning and electroless deposition
EP2390906A1 (en) * 2010-05-26 2011-11-30 Applied Materials, Inc. Apparatus and method for electrostatic discharge (ESD) reduction
TWI476299B (zh) 2010-06-23 2015-03-11 Ind Tech Res Inst 化學浴鍍膜設備及化合物薄膜的製造方法
US20120145201A1 (en) * 2010-12-13 2012-06-14 Chang-Hsin Wu Spin rinse dry apparatus and method of processing a wafer using the same
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
JP5666394B2 (ja) * 2011-06-29 2015-02-12 東京エレクトロン株式会社 めっき処理装置、めっき処理方法および記憶媒体
US9117856B2 (en) 2011-07-06 2015-08-25 Tel Nexx, Inc. Substrate loader and unloader having an air bearing support
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
CN113192859B (zh) * 2020-01-14 2022-10-21 长鑫存储技术有限公司 晶圆加工系统及晶圆加工方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0432572A (ja) * 1990-05-29 1992-02-04 Shinko Electric Ind Co Ltd 片面めっき方法
JPH07235473A (ja) * 1994-02-24 1995-09-05 Hitachi Ltd 液体供給方法及び回転式液体供給装置及び回転式レジスト現像処理装置
JPH1192949A (ja) * 1997-09-16 1999-04-06 Ebara Corp 半導体ウエハーの配線メッキ装置
JPH11279797A (ja) * 1998-03-27 1999-10-12 Dainippon Screen Mfg Co Ltd 基板メッキ装置
JPH11315383A (ja) * 1998-03-05 1999-11-16 Ebara Corp 基板のめっき装置
JP2000058486A (ja) * 1998-08-11 2000-02-25 Ebara Corp 基板めっき方法及び装置
JP2000064087A (ja) * 1998-08-17 2000-02-29 Dainippon Screen Mfg Co Ltd 基板メッキ方法及び基板メッキ装置
JP2000124156A (ja) * 1998-10-12 2000-04-28 Sony Corp 半導体製造装置
JP2001035813A (ja) * 1999-03-05 2001-02-09 Applied Materials Inc 熱アニーリング可能な銅の電気化学堆積装置
JP2001158968A (ja) * 1999-07-09 2001-06-12 Applied Materials Inc 電気めっきシステムにおいて原位置無電解銅シード層を強化するシステム及び方法
JP2001192845A (ja) * 2000-01-13 2001-07-17 Tokyo Electron Ltd 無電解メッキ装置及び無電解メッキ方法
JP2001342573A (ja) * 2000-06-02 2001-12-14 Ebara Corp 無電解めっき方法及び装置
JP2001348693A (ja) * 2000-06-07 2001-12-18 Ebara Corp 基板処理装置

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3772105A (en) 1970-07-24 1973-11-13 Shipley Co Continuous etching process
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
ES440918A1 (es) 1975-03-11 1977-06-01 Oxy Metal Industries Corp Un metodo para la preparacion de una poli (sal de alcanol- amonio cuaternario).
US3953265A (en) 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US3990462A (en) 1975-05-19 1976-11-09 Fluoroware Systems Corporation Substrate stripping and cleaning apparatus
JPS5271871A (en) 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4326940A (en) 1979-05-21 1982-04-27 Rohco Incorporated Automatic analyzer and control system for electroplating baths
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4315059A (en) 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
EP0076569B1 (en) 1981-10-01 1986-08-27 EMI Limited Electroplating arrangements
US4429983A (en) 1982-03-22 1984-02-07 International Business Machines Corporation Developing apparatus for exposed photoresist coated wafers
US4439243A (en) 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4439244A (en) 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4510176A (en) 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
JPS60210840A (ja) 1984-03-06 1985-10-23 Fujitsu Ltd スピン処理装置
US4519846A (en) 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
JPS61279858A (ja) 1985-06-05 1986-12-10 Mitsubishi Electric Corp ネガレジスト現像装置
US4677758A (en) 1985-10-08 1987-07-07 Seiichiro Aigo Spin drier for semiconductor material
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4788994A (en) 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
US4732785A (en) 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US4875434A (en) 1987-04-02 1989-10-24 Mitsubishi Denki Kabushiki Kaisha Apparatus for coating a substrate with a coating material
FR2623134B1 (fr) 1987-11-13 1991-08-02 Salem Ali Procede technique de decoupe et d'ajourage de plaques metalliques en vue de leur reproduction et incrustation
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
JPH01316936A (ja) 1988-06-17 1989-12-21 Toshiba Corp 半導体基板エッチング処理装置
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02253620A (ja) 1989-03-28 1990-10-12 Oki Electric Ind Co Ltd 半導体基板の洗浄装置
JP2803143B2 (ja) 1989-04-14 1998-09-24 カシオ計算機株式会社 半導体ウエハのメッキ前処理方法
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US4989345A (en) 1989-12-18 1991-02-05 Gill Jr Gerald L Centrifugal spin dryer for semiconductor wafer
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP2841618B2 (ja) 1990-01-25 1998-12-24 日本電気株式会社 ウェットエッチング装置
US5232511A (en) 1990-05-15 1993-08-03 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous mixed acid vapors
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
JPH0810686B2 (ja) 1990-09-14 1996-01-31 株式会社東芝 半導体基板エッチング処理装置
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
DE4109955A1 (de) 1991-03-26 1992-10-01 Siemens Ag Verfahren zum nasschemischen aetzen einer wolframrueckseitenbeschichtung auf einer halbleiterscheibe
JPH04363022A (ja) 1991-06-06 1992-12-15 Enya Syst:Kk 貼付板洗浄装置
JPH0513322A (ja) 1991-07-09 1993-01-22 Ryoden Semiconductor Syst Eng Kk 被膜溶剤塗布装置
JPH0715897B2 (ja) * 1991-11-20 1995-02-22 株式会社エンヤシステム ウエ−ハ端面エッチング方法及び装置
JPH05160104A (ja) 1991-12-05 1993-06-25 Fujitsu Ltd 半導体ウェーハのウェット処理方法及びウェット処理装置
DE4202194C2 (de) 1992-01-28 1996-09-19 Fairchild Convac Gmbh Geraete Verfahren und Vorrichtung zum partiellen Entfernen von dünnen Schichten von einem Substrat
JP3200468B2 (ja) 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用めっき装置
JP2654314B2 (ja) 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
JPH0617291A (ja) 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
JP3277404B2 (ja) 1993-03-31 2002-04-22 ソニー株式会社 基板洗浄方法及び基板洗浄装置
US5337708A (en) 1993-06-15 1994-08-16 Chen We Yu Apparatus and method for automatic transmission system fluid exchange and internal system flushing
JP3247211B2 (ja) 1993-08-02 2002-01-15 富士通株式会社 配線用銅膜表面の酸化銅除去方法
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
JP3377849B2 (ja) 1994-02-02 2003-02-17 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用メッキ装置
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
TW402758B (en) 1996-05-20 2000-08-21 Tokyo Electorn Limtied Spin dryer and method of drying substrates
US5899216A (en) 1996-07-08 1999-05-04 Speedfam Corporation Apparatus for rinsing wafers in the context of a combined cleaning rinsing and drying system
US6264752B1 (en) * 1998-03-13 2001-07-24 Gary L. Curtis Reactor for processing a microelectronic workpiece
US5997653A (en) 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US5937469A (en) 1996-12-03 1999-08-17 Intel Corporation Apparatus for mechanically cleaning the edges of wafers
TW345681B (en) 1996-12-13 1998-11-21 Taiwan Semiconductor Mfg Co Ltd Method for removing covering layer on the peripheral edge portion of wafer
JP3300624B2 (ja) 1997-01-24 2002-07-08 東京エレクトロン株式会社 基板端面の洗浄方法
KR100249309B1 (ko) 1997-02-28 2000-03-15 윤종용 반도체 제조용 포토 레지스트 코팅 장치
TW419716B (en) 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
US5783097A (en) 1997-06-09 1998-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Process to avoid dielectric damage at the flat edge of the water
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5974681A (en) 1997-09-10 1999-11-02 Speedfam-Ipec Corp. Apparatus for spin drying a workpiece
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US5897379A (en) 1997-12-19 1999-04-27 Sharp Microelectronics Technology, Inc. Low temperature system and method for CVD copper removal
US6117778A (en) * 1998-02-11 2000-09-12 International Business Machines Corporation Semiconductor wafer edge bead removal method and tool
US6632292B1 (en) * 1998-03-13 2003-10-14 Semitool, Inc. Selective treatment of microelectronic workpiece surfaces
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
WO1999054527A2 (en) 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6099702A (en) * 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6290865B1 (en) * 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6254760B1 (en) * 1999-03-05 2001-07-03 Applied Materials, Inc. Electro-chemical deposition system and method
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
DE19902194A1 (de) * 1999-01-21 2000-07-27 Stahlecker Fritz Flyer-Streckwerk mit nachfolgender Kondensierzone
US6254742B1 (en) * 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6309981B1 (en) * 1999-10-01 2001-10-30 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
KR100773165B1 (ko) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US20020152955A1 (en) * 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6634370B2 (en) * 2000-05-08 2003-10-21 Tokyo Electron Limited Liquid treatment system and liquid treatment method

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0432572A (ja) * 1990-05-29 1992-02-04 Shinko Electric Ind Co Ltd 片面めっき方法
JPH07235473A (ja) * 1994-02-24 1995-09-05 Hitachi Ltd 液体供給方法及び回転式液体供給装置及び回転式レジスト現像処理装置
JPH1192949A (ja) * 1997-09-16 1999-04-06 Ebara Corp 半導体ウエハーの配線メッキ装置
JPH11315383A (ja) * 1998-03-05 1999-11-16 Ebara Corp 基板のめっき装置
JPH11279797A (ja) * 1998-03-27 1999-10-12 Dainippon Screen Mfg Co Ltd 基板メッキ装置
JP2000058486A (ja) * 1998-08-11 2000-02-25 Ebara Corp 基板めっき方法及び装置
JP2000064087A (ja) * 1998-08-17 2000-02-29 Dainippon Screen Mfg Co Ltd 基板メッキ方法及び基板メッキ装置
JP2000124156A (ja) * 1998-10-12 2000-04-28 Sony Corp 半導体製造装置
JP2001035813A (ja) * 1999-03-05 2001-02-09 Applied Materials Inc 熱アニーリング可能な銅の電気化学堆積装置
JP2001158968A (ja) * 1999-07-09 2001-06-12 Applied Materials Inc 電気めっきシステムにおいて原位置無電解銅シード層を強化するシステム及び方法
JP2001192845A (ja) * 2000-01-13 2001-07-17 Tokyo Electron Ltd 無電解メッキ装置及び無電解メッキ方法
JP2001342573A (ja) * 2000-06-02 2001-12-14 Ebara Corp 無電解めっき方法及び装置
JP2001348693A (ja) * 2000-06-07 2001-12-18 Ebara Corp 基板処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287110B2 (en) 2004-06-30 2016-03-15 Lam Research Corporation Method and apparatus for wafer electroless plating
JP2010525166A (ja) * 2007-04-16 2010-07-22 ラム リサーチ コーポレーション ウエハ無電解めっきのための方法および装置
US8844461B2 (en) 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods

Also Published As

Publication number Publication date
TW200301527A (en) 2003-07-01
WO2003057943A2 (en) 2003-07-17
TWI258190B (en) 2006-07-11
WO2003057943A3 (en) 2005-07-07
CN1636081A (zh) 2005-07-06
US20030118732A1 (en) 2003-06-26
US6824612B2 (en) 2004-11-30

Similar Documents

Publication Publication Date Title
JP2006501360A (ja) 無電界メッキシステム
US7575636B2 (en) Substrate processing apparatus and substrate processing method
US20060033678A1 (en) Integrated electroless deposition system
US6742279B2 (en) Apparatus and method for rinsing substrates
US20070111519A1 (en) Integrated electroless deposition system
US7223323B2 (en) Multi-chemistry plating system
US7341633B2 (en) Apparatus for electroless deposition
US20040234696A1 (en) Plating device and method
US7083706B2 (en) Substrate processing apparatus
JP3960774B2 (ja) 無電解めっき装置及び方法
US20060185976A1 (en) Plating apparatus and method
US6936302B2 (en) Electroless Ni-B plating liquid, electronic device and method for manufacturing the same
JP2005539369A (ja) 無電解堆積装置
US20020113039A1 (en) Integrated semiconductor substrate bevel cleaning apparatus and method
WO2007016218A2 (en) Integrated electroless deposition system
US20050074559A1 (en) Plating apparatus and method
US20050173253A1 (en) Method and apparatus for infilm defect reduction for electrochemical copper deposition
WO2004094702A2 (en) Multi-chemistry plating system
US20050020077A1 (en) Formation of protection layer by dripping DI on wafer with high rotation to prevent stain formation from H2O2/H2SO4 chemical splash
US20050022909A1 (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051212

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051212

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061005

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080624

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080924

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081001

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081023

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20081023

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081216