JP2005536053A - 金属酸化物を用いてデュアルゲートオキサイドデバイスを形成するための方法および形成されるデバイス - Google Patents

金属酸化物を用いてデュアルゲートオキサイドデバイスを形成するための方法および形成されるデバイス Download PDF

Info

Publication number
JP2005536053A
JP2005536053A JP2004529077A JP2004529077A JP2005536053A JP 2005536053 A JP2005536053 A JP 2005536053A JP 2004529077 A JP2004529077 A JP 2004529077A JP 2004529077 A JP2004529077 A JP 2004529077A JP 2005536053 A JP2005536053 A JP 2005536053A
Authority
JP
Japan
Prior art keywords
gate
metal oxide
dielectric
dielectric layer
gate dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004529077A
Other languages
English (en)
Other versions
JP2005536053A5 (ja
Inventor
シー. ギルマー、デイビッド
シー. ホッブス、クリストファー
ツェン、シン−ホワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Publication of JP2005536053A publication Critical patent/JP2005536053A/ja
Publication of JP2005536053A5 publication Critical patent/JP2005536053A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/981Utilizing varying dielectric thickness

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

単一の高k誘電体層、好適には金属酸化物を用いて、2つの異なるゲート誘電体膜厚を有する半導体デバイス(10)が形成される。より厚い第1のゲート誘電体(16)は、例えばI/O領域(24)など、電圧要求がより高いデバイス領域に形成される。より薄い第2のゲート誘電体(20)は、例えばコアデバイス領域(22)など、電圧要求がより低いデバイス領域に形成される。第1および第2の誘電体は、好適にはシリコンジオキサイドまたはシリコンオキシナイトライドである。金属酸化物(26)が両方の誘電体の上方に堆積されて、続いてゲート電極材料(28)が堆積される。各トランジスタ用のゲート誘電体スタックを形成する際に、高品質のシリコンオキサイドまたはオキシナイトライド誘電体層と共に、単一の金属酸化物を使用することによって、金属酸化物と破壊または処理表面との間の種々の界面に関する問題が回避され得て、金属酸化物の選択的エッチングに関する問題が回避され得る。

Description

本発明は一般に半導体デバイスに関し、より詳細には、2つのゲート誘電体膜厚を有し、金属酸化物などの高k誘電体材料を利用する半導体デバイスに関する。
集積回路の製造においては、同一の半導体基板またはウエハの上に、ゲート誘電体膜厚が異なるトランジスタを形成する必要が度々ある。例えば、入力/出力(I/O)デバイス用トランジスタは、例えば、コアロジック用トランジスタより、厚いゲート誘電体を必要とし得る。異なる膜厚に形成するための従来技術はDGO工程と称されているが、これはデュアルゲートオキサイドを表している。従来のDGO工程においては、「厚い」シリコンジオキサイド層(例えばI/Oデバイス用)が形成され、I/O領域の厚いシリコンジオキサイド層をマスクするために、レジストマスクが用いられる。厚いシリコンジオキサイド層は、その後、コアロジックデバイスが形成されるマスクされていない領域から、エッチングまたは他の方法で除去される。マスクが除去された後で、薄いシリコンジオキサイド層をコアロジックデバイス領域の上方に成長させる。ゲート電極材料は、典型的にはポリシリコンであるが、その後で基板の上方に堆積されて、この時点で基板には2つの異なる膜厚のゲート誘電体が含まれる。ゲート電極材料およびゲート誘電体は、その後でパターン形成およびエッチングされて、各トランジスタのゲート電極および酸化物スタックを形成する。
上述のDGO工程は、2つの異なるゲート誘電体膜厚を有するトランジスタ作製の製造可能かつ経済的な方法として、工業界において是認されている。しかしながらトランジスタ寸法が縮小するにつれて、半導体工業においては、金属酸化物などの高k誘電体材料(すなわち、より高い誘電率を有する誘電体)で、従来のシリコンジオキサイドゲート誘電体を置き換える動きがある。しかし、シリコンジオキサイドで可能であるようには、金属酸化物をシリコン基板上で熱的に成長させることはできない。そのため、従来のDGO工程において、単にシリコンジオキサイドの代わりに金属酸化物を用いようと試みたとしても、異なる酸化物膜厚を形成するための複数の金属酸化物堆積に関する問題、および金属酸化物のエッチングに関する問題がある。したがって、金属酸化物または他の高k誘電体材料を用いてデュアルゲート誘電体膜厚を達成することができる、半導体製造工程に対する需要がある。
本発明によって、単一の金属酸化物の堆積を用いて異なる膜厚の複数のゲート誘電体スタックを形成するデュアルゲート工程手順に、高k誘電体材料、好適には金属酸化物が組み込まれる。本発明を用いて、その2つの異なる領域(例えば、コアロジック領域およびI/O領域)の間に、等価酸化膜厚(EOT)において既に膜厚の差が与えられている基板の、調製された表面の上方に、金属酸化物が形成される。例えば、単一の金属酸化物層は、2つの異なる膜厚のシリコンジオキサイドまたはシリコンオキシナイトライドの上方に堆積される。したがって金属酸化物は、ゲート品質の表面が要求される、下に位置するシリコン基板に対して選択的にエッチングされる(これによって基板表面が破壊され得る)必要がない。また本発明を用いると、金属酸化物誘電体を用いる従来のDGO加工方法を用いて得られるような、破壊または他の方法で処理されたシリコン表面との界面とは対照的に、単一の金属酸化物層が高品質のシリコンジオキサイドまたはシリコンオキシナイ
トライド層との界面を形成する。したがって、本発明によって形成されたデバイスは、汚染または表面破壊の結果として生じる界面不整による性能低下、例えば電流リークなどの被害を受けない。
本発明は、添付の図面によって、参照が類似の要素を指すように実施例として図示されているが、添付の図面によって限定されるべきではない。
図面中の要素は簡潔性および明瞭性のために図示されており、必ずしも縮尺に応じて描かれていないことを、当業者は認める。例えば、本発明の実施態様の理解を進めるために、図面中の要素のうちの幾つかの寸法は、他の要素に比べて誇張され得る。
図1〜4を参照すると、本発明のある実施態様によって半導体デバイス10が製作されている。図1に示されるように、半導体デバイス10は半導体基板12を有する。好適な実施態様においては、半導体基板12は単結晶シリコン基板(時にはウエハとしてもまた参照される)であるが、代わりに他の半導体基板材料から形成されることも可能である。基板12の内部には、形成される個々の異なるデバイスを電気的に分離する目的で、従来の手法を用いて、好適には浅いトレンチ分離領域であるトレンチ分離領域14が形成される。トレンチ分離領域14の形成後に、第1のゲート誘電体16が基板12の上方に形成される。第1のゲート誘電体16は、好適にはシリコンジオキサイドまたはシリコンオキシナイトライドであり、かつ好適には従来実施されるところに従って、熱酸化によって形成される。ゲート誘電体16の膜厚は、以下でさらに説明されるように、I/Oデバイス領域24に形成されるデバイスの詳細なデバイス要求によって決定されるが、一般には30〜50オングストローム(3〜5nm)の範囲内にあるであろう。
第1のゲート誘電体16の形成後、第1のゲート誘電体層の一部分をマスクするために、(フォト)レジストマスク18が基板の上方に形成される。図1に示されるように、半導体デバイス10は2つの異なるデバイス領域、すなわちコアデバイス領域22およびI/Oデバイス領域24を有する。動作時には、コアデバイス領域22に形成されるデバイスは、例えばI/Oデバイスより低い電圧で動作する、より薄いゲート誘電体を必要とする。I/Oデバイス領域24に形成されるI/Oデバイスは、I/O機能に必要とされる、より高い電圧に耐えることができる。したがって、少なくとも一部がより高い電圧のI/Oデバイス用のゲート誘電体の役割を果たす第1のゲート誘電体16の部分を保護するために、レジストマスク18が形成される。代わりに他の誘電体材料が第1のゲート誘電体16に用いられてもよい。シリコンジオキサイドまたはシリコンオキシナイトライドは、それらの材料が工業的に理解されているため、高品質のゲート膜を形成する能力のため、およびブランケット堆積とエッチング工程とを必要とすることなく、選択成長法によって形成可能であることのため、有用な選択である。
図2に示されるように、その後で半導体デバイス10はエッチングされて、コアデバイス領域22において、第1のゲート誘電体16の保護されていない部分が除去される。その後でレジストマスク18が除去されて、コアデバイス領域22内の基板12の露出部分の上に、第2のゲート誘電体20が形成される。好適な実施態様においては、第2のゲート誘電体20もまたシリコンオキサイドまたはシリコンオキシナイトライドであるが、第1のゲート誘電体16のように、他の材料が用いられることも可能である。この第2のゲート誘電体は、従来実施されるところに従って、熱酸化および化学酸化のうちの少なくとも1つによって形成されることが可能である。ゲート誘電体20の膜厚もまた、以下でさらに説明されるように、コアデバイス領域22に形成されるデバイスの詳細なデバイス要求によって決定されるが、一般には4〜12オングストローム(0.4〜1.2nm)の範囲内にあるであろう。第2のゲート誘電体20はそのように薄いため、熱酸化工程を用いて膜厚を充分に制御すること、すなわち充分な品質の酸化物を得ることは困難であり、
したがって化学酸化が有用であり得る。例えば、薄い誘電体は、オゾン水中で基板をリンスして薄い酸化物を成長させることによって、形成されてもよい。熱処理および化学処理の組合せもまた、第2の誘電体20を形成するために用いられてよい。第2のゲート誘電体20はまた、基板を大気または他の酸素含有環境に露出した結果として基板12上に成長した自然酸化物であっても充分である。他の実施態様では、第2のゲート誘電体20は、例えば原子層堆積法によって堆積されることが可能である。
第2のゲート誘電体20を形成する間、第2のゲート誘電体20を形成するために用いる技術によって、第1のゲート誘電体16の膜厚は変化し得るので、第1のゲート誘電体16の最初に堆積または成長した膜厚を、選択の際に考慮に入れておく必要がある。しかしながら、一般には、基板表面の熱または化学反応を通じて形成することによらずに第2のゲート誘電体20が第1のゲート誘電体16の上方に堆積されない限りは、第1のゲート誘電体16の膜厚は有意に変化するとは予想されない。
2つの異なる膜厚のゲート誘電体が形成されたので、高k誘電体(一般にはk>4、好適にはk>6、および最適にはk>7である)が、半導体デバイス10の上方に堆積される。好適な実施態様では、この高k誘電体は、図3に示される金属酸化物26などの金属酸化物である。金属酸化物26に適切な材料は、好適には、ハフニウムオキサイド(HfO)、ハフニウムシリケート(HfSi)、またはランタンアルミネート(LaAlO)を含有するが、ランタンオキサイド、ハフニウムアルミネート、ジルコニウムオキサイド、およびジルコニウムシリケート、および他の同様の材料もまた、適切な高k誘電体であり得る。高k誘電体層の膜厚は、基板の各領域(コア領域およびI/O領域)における詳細なデバイス要求によるであろうが、一般には、金属酸化物の膜厚は15〜50オングストローム(1.5〜5nm)の間にあると思われる。下に位置する第1のゲート誘電体16および第2のゲート誘電体20の膜厚もまた、高k誘電体の膜厚の選択に影響を及ぼす。高k誘電体の膜厚は、その等価酸化膜厚(EOT)が、第1のゲート誘電体16(I/Oデバイス誘電体)の膜厚または第2のゲート誘電体20(コアデバイス誘電体)の膜厚のEOTに加えられた時に、それぞれI/Oおよびコアデバイスに適切な全EOTを与えるように選択される必要がある。同様に、第1および第2のゲート誘電体の最初に堆積または成長させる膜厚を決定するために、この計算が用いられる必要がある。金属酸化物26は単一のブランケット堆積時に堆積されるので、その膜厚は基板表面の全域で大きくは異ならず、したがって、第1および第2の誘電体の膜厚を「変数」として用いて、コアおよびI/Oデバイス用の最終的なEOTを得る必要がある。
図3から明らかであるように、単一の金属酸化物層を用いるにもかかわらず、同一の基板上に異なるデバイス用の2つの異なるEOTを得ることができる。従来のデュアルゲートオキサイド(DGO)工程に金属酸化物を組み込もうとした場合には、コアデバイスおよびI/Oデバイス要求の両方を満たすには、2つの異なる膜厚の金属酸化物が要求されるであろう。同一の基板上に2つの異なる膜厚の金属酸化物を形成することに関する問題には、以下が含まれる。1)シリコン基板の上方で金属酸化物を一様にかつ選択的にエッチングすることの困難。2)金属酸化物とシリコン基板との間、およびI/Oデバイス領域の頂部に堆積された金属酸化物同士の間で高品質の界面を形成することの困難。本発明では、単一の金属酸化物堆積が用いられ、コアデバイス領域22とI/Oデバイス領域24との間にEOTの差が既に与えられている基板の、調製された表面の上方に、金属酸化物が形成される。金属酸化物は、ゲート品質の表面が要求される、下に位置するシリコン基板に対して選択的にエッチングされる必要がない。また本発明を用いると、金属酸化物誘電体を用いる従来のDGO加工の結果として生じる、破壊または他の方法で処理されたシリコン表面とは対照的に、金属酸化物層が高品質のシリコンジオキサイドまたはシリコンオキシナイトライド層との界面を形成する。本発明の別の利点は、従来のDGO加工の結果として破壊または処理された金属酸化物層の上に、金属酸化物堆積を行わないことで
ある。
金属酸化物層26が堆積された後、ゲート電極材料28が金属酸化物の上方に堆積されて、半導体デバイス10はパターン形成およびエッチングされて、図4に示されるようにゲートスタックを形成する。ゲート電極材料28は、一般には導電性の(ドープされた)ポリシリコンまたは金属(例えば、チタンナイトライド)であり得る。好適には、I/Oおよびコアデバイス領域の両方にゲートスタックをパターン形成するために、同一のエッチングマスクが用いられるが、エッチング要求によっては、2つの領域の各々に1つずつ、2つのマスクを用いることがより好適であり得る。この時点で、従来の加工によって、トランジスタおよび集積回路形成(例えば、注入、スペーサ、層間誘電体、インタコネクト、およびパッシベーション形成)が完了する。
本発明の別の実施態様によって、同様の加工を用いてトリプルゲート酸化物(TGO)デバイスを形成することが可能である。ある用途においては、コアおよびI/Oデバイスに加えて、さらに高電圧のデバイスが必要あり、したがって、3つの異なる膜厚のゲート誘電体が必要である。図5に示されるように、半導体デバイス50は、それぞれ物理的な膜厚を増加する、ゲート誘電体52,54,56を有する。ゲート誘電体52はコアロジックデバイス用のゲート誘電体として働くことができる。ゲート誘電体54はI/Oデバイス用のゲート誘電体として働くことができる。一方、ゲート誘電体56は高電圧デバイス用のゲート誘電体として働くことができる。これらのゲート誘電体は、ゲート誘電体16および20にて図1〜2を参照して説明した方法と同様の手法にて形成され得る。異なるのは、形成される第1の誘電体層は(例えば、最高電圧のデバイス用に)必要とされる最も厚い誘電体であること、および、コアデバイスに必要とされる最も薄い誘電体を形成する前に、中間の膜厚のゲート誘電体(例えば、I/Oデバイス用)が形成されることである。これは、以下によって達成される。1)高電圧デバイスが形成される領域において、最も厚い誘電体をマスクする。2)コアデバイスおよびI/Oデバイス領域において、この(最も厚い)誘電体をエッチングする。3)コアデバイス領域およびI/Oデバイス領域の両方において、I/Oデバイス用のゲート誘電体を形成する。4)I/Oおよび高電圧デバイス領域において、I/Oゲート誘電体および最も厚い誘電体をマスクする。5)コアデバイス領域において、I/O誘電体をエッチングする。6)コアデバイスのゲート誘電体を形成する。3つの異なるEOTを形成後、金属酸化物など単一の高k誘電体が基板の上方に堆積されて、先に図3〜4を参照して説明したように加工は継続する。
さらに別の実施態様では、本発明による工程には、単一の金属酸化物層とは対照的に、金属酸化物スタックが含まれる。図6に示されるように、半導体デバイス60は、先に図1〜2を参照して説明したように、2つの異なるEOTを有して製作される。その後、第1の金属酸化物層62が基板の上方にブランケット堆積されるのに続いて、第2の金属酸化物層64がブランケット堆積される。好適な実施態様においては、2つの金属酸化物層は異なる材料からなる。2つの異なる金属酸化物を用いる利点の1つは、層内の結晶粒界が不整合になって、トランジスタにおける電流リークを減少できることである。2つの異なる材料を用いる別の理由は、ある材料がゲート誘電体として適切な特性を有していても、上方に位置するゲート電極を形成するために用いられる材料と適合しない場合があるためである。したがって、第2の金属酸化物は、ゲート電極と適合するように選択されることができる。ある実施態様では、第1の金属酸化物層はジルコニウムオキサイド(ZrO)またはハフニウムオキサイド(HfO)であり、第2の金属酸化物はアルミナ(Al)である。ポリシリコンゲート電極材料を用いる時には、アルミナがポリシリコンとハフニウムオキサイドまたはジルコニウムオキサイドとの間の適合性を幾らか解決し得る。一般には、第2のまたは頂部の金属酸化物層は、トランジスタのバルクゲート誘電体としてではなくキャップ層として主に用いられるために、第1の金属酸化物層より薄いであろう。金属ゲート電極はまた、バルク誘電体材料の上方でキャップ層において使用さ
れても有益である。
上述の明細において、本発明は詳細な実施態様を参照して説明されている。しかしながら、続く特許請求の範囲に述べられている本発明の範囲から逸脱することなく、種々の修正および変更が可能であることを、当業者は認めるであろう。例えば、本発明は異なるゲート誘電体膜厚を有するコアロジックデバイスおよびI/Oデバイスを形成するものとして説明されているが、本発明は、異なるゲート誘電体膜厚を有するまたは必要とする任意の2つのデバイスに関して用いられることが可能である。したがって、明細書および図面は、限定的な意味ではなくむしろ例証的な意味であると見なされるべきであり、そのような修正は全て本発明の範囲内に含まれることが意図される。
詳細な実施態様に関して、利益、他の利点、および問題に対する解決法を上に説明した。しかしながら、利益、利点、問題に対する解決法、および、任意の利益、利点、または解決法を生じて、より明白とし得るまたは明白になり得る任意の要素は、任意または全ての請求項において、決定的な、必須の、または不可欠な特徴すなわち要素として構成されるものではない。本明細書中では、「含む」、「含んでいる」またはこれらの語の他の任意の変化形では、一連の要素を含む工程、方法、物品、または装置が、それらの要素のみを含むのではなく、明白には挙げられていない他の要素、すなわち、そのような工程、方法、物品、または装置に固有の他の要素を含み得るという、比限定的な包含を保護することを意図している。
本発明の一実施態様によって加工される、2つのゲート誘電体膜厚(例えば、コアデバイス用およびI/Oデバイス用)を有するように形成された半導体デバイスの部分的な断面図。 本発明の一実施態様によって加工される、2つのゲート誘電体膜厚(例えば、コアデバイス用およびI/Oデバイス用)を有するように形成された半導体デバイスの部分的な断面図。 本発明の一実施態様によって加工される、2つのゲート誘電体膜厚(例えば、コアデバイス用およびI/Oデバイス用)を有するように形成された半導体デバイスの部分的な断面図。 本発明の一実施態様によって加工される、2つのゲート誘電体膜厚(例えば、コアデバイス用およびI/Oデバイス用)を有するように形成された半導体デバイスの部分的な断面図。 3つの異なるゲート誘電体膜厚が形成される本発明の別の実施態様によって形成された半導体デバイスの部分的な断面図。 単一の金属酸化物層ではなく金属酸化物のスタックが、形成される各々の型のデバイス(例えば、コアデバイスおよびI/Oデバイスの両方)用のゲート誘電体部分として用いられる、本発明のさらに別の実施態様によって形成された半導体デバイスの部分的な断面図。

Claims (21)

  1. 半導体デバイスを形成するための方法において、
    半導体基板を設ける工程と、
    前記半導体基板の上方に位置する第1のゲート誘電体層を形成する工程と、
    前記第1のゲート誘電体層の、前記半導体基板の第1の領域の上方に位置する部分を除去する工程と、
    前記半導体基板の前記第1の領域の上方に位置する第2のゲート誘電体層を形成する工程と、
    前記第1のゲート誘電体層および前記第2のゲート誘電体層の上方に位置する金属酸化物層を形成する工程とを備える方法。
  2. 請求項1に記載の方法において、前記第1のゲート誘電体層は、シリコンオキサイドおよびシリコンオキシナイトライドからなる群から選択される材料を含有する方法。
  3. 請求項1に記載の方法において、前記第2のゲート誘電体層は、シリコンオキサイドおよびシリコンオキシナイトライドからなる群から選択される材料を含有する方法。
  4. 請求項1に記載の方法において、前記金属酸化物層は、ハフニウムジオキサイド、ハフニウムシリケート、およびランタンアルミネートからなる群から選択される材料を含有する方法。
  5. 請求項1に記載の方法において、
    前記金属酸化物層の上方に位置するゲート層を形成する工程と、
    第1のゲート電極スタックおよび第2のゲート電極スタックを形成するために、前記ゲート層、金属酸化物層、第1のゲート誘電体層、および第2のゲート誘電体層をパターン形成する工程とを、さらに備える方法。
  6. 請求項5に記載の方法において、前記第1のゲート電極スタックはコアロジックデバイスに用いられ、かつ前記第2のゲート電極スタックはI/Oデバイスに用いられる方法。
  7. 請求項1に記載の方法において、
    前記第2のゲート誘電体層の、前記半導体基板の第2の領域の上方に位置する部分を除去する工程と、
    前記半導体基板の前記第2の領域の上方に位置する第3のゲート誘電体層を形成する工程とをさらに備え、
    前記金属酸化物層を形成する工程は、前記第1のゲート誘電体層、前記第2のゲート誘電体層、および前記第3のゲート誘電体層の上方に位置する前記金属酸化物層を形成する工程を含む方法。
  8. 請求項1に記載の方法において、前記第1の誘電体層および前記第2の誘電体層は、異なる膜厚を有する方法。
  9. 半導体デバイスを形成するための方法において、
    半導体基板を設ける工程と、
    前記半導体基板の上方に位置する第1の誘電体材料を形成する工程であって、前記半導体基板の第1の領域の上方に位置する前記第1の誘電体材料の第1の部分は第1の膜厚を有し、かつ前記半導体基板の第2の領域の上方に位置する前記第1の誘電体材料の第2の部分は前記第1の膜厚とは異なる第2の膜厚を有する、第1の誘電体材料を形成する工程と、
    前記第1の誘電体材料の上方に位置する高k誘電体層を形成する工程と、
    前記高k誘電体層の上方に位置するゲート層を形成する工程と、
    前記半導体基板の前記第1の領域内の第1のデバイスのゲートおよび前記半導体基板の前記第2の領域内の第2のデバイスのゲートを形成するために、前記ゲート層および前記高k誘電体層をパターン形成する工程とを備える方法。
  10. 請求項9に記載の方法において、前記第1の誘電体材料は、シリコンジオキサイドおよびシリコンオキシナイトライドからなる群から選択される材料を含有する方法。
  11. 請求項9に記載の方法において、前記高k誘電体層は金属酸化物を含有する方法。
  12. 請求項11に記載の方法において、前記金属酸化物は、ハフニウムジオキサイド、ハフニウムシリケート、およびランタンアルミネートからなる群から選択される材料を含有する方法。
  13. 半導体デバイスにおいて、
    半導体基板の上方に位置する第1のデバイスと、
    前記第1のデバイスから間隔を置いて配置された、前記半導体基板の上方に位置する第2のデバイスとを有し、
    前記第1のデバイスは、前記半導体基板の上方に位置し、かつ第1の膜厚を有する第1の誘電体と、前記第1の誘電体の上方に位置する第1の金属酸化物とを有し、
    前記第2のデバイスは、前記半導体基板の上方に位置し、かつ前記第1の膜厚とは異なる第2の膜厚を有する第2の誘電体と、前記第2の誘電体の上方に位置する第2の金属酸化物とを有する半導体デバイス。
  14. 請求項13に記載の半導体デバイスにおいて、前記第1の金属酸化物の上方に位置する第1のゲートと、前記第2の金属酸化物の上方に位置する第2のゲートとをさらに有する半導体デバイス。
  15. 請求項14に記載の半導体デバイスにおいて、前記第1のゲートおよび前記第2のゲートの各々は金属を含有する半導体デバイス。
  16. 請求項14に記載の半導体デバイスにおいて、前記第1のゲートおよび前記第2のゲートの各々はポリシリコンを含有する半導体デバイス。
  17. 請求項16に記載の半導体デバイスにおいて、前記第1の金属酸化物の上方に位置し、かつ前記第1のゲートの下に位置する第3の誘電体と、前記第2の金属酸化物の上方に位置し、かつ前記第2のゲートの下に位置する第4の誘電体とを、さらに有する半導体デバイス。
  18. 請求項14に記載の半導体デバイスにおいて、前記第1の誘電体および前記第2の誘電体の各々は、シリコンオキサイドおよびシリコンオキシナイトライドからなる群から選択される材料を含有する半導体デバイス。
  19. 請求項14に記載の半導体デバイスにおいて、前記第1の金属酸化物および前記第2の金属酸化物は、同一の金属酸化物を含有する半導体デバイス。
  20. 請求項19に記載の半導体デバイスにおいて、前記第1の金属酸化物および前記第2の金属酸化物は、同一の膜厚を有する半導体デバイス。
  21. 請求項19に記載の半導体デバイスにおいて、前記第1の金属酸化物および前記第2の金属酸化物の各々は、ハフニウムジオキサイド、ハフニウムシリケート、およびランタンアルミネートからなる群から選択される材料を含有する半導体デバイス。
JP2004529077A 2002-08-15 2003-06-16 金属酸化物を用いてデュアルゲートオキサイドデバイスを形成するための方法および形成されるデバイス Pending JP2005536053A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/219,522 US6787421B2 (en) 2002-08-15 2002-08-15 Method for forming a dual gate oxide device using a metal oxide and resulting device
PCT/US2003/018939 WO2004017403A1 (en) 2002-08-15 2003-06-16 Method for forming a dual gate oxide device using a metal oxide and resulting device

Publications (2)

Publication Number Publication Date
JP2005536053A true JP2005536053A (ja) 2005-11-24
JP2005536053A5 JP2005536053A5 (ja) 2006-07-20

Family

ID=31714754

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004529077A Pending JP2005536053A (ja) 2002-08-15 2003-06-16 金属酸化物を用いてデュアルゲートオキサイドデバイスを形成するための方法および形成されるデバイス

Country Status (7)

Country Link
US (1) US6787421B2 (ja)
JP (1) JP2005536053A (ja)
KR (1) KR20050054920A (ja)
CN (1) CN1675759A (ja)
AU (1) AU2003285819A1 (ja)
TW (1) TW200414529A (ja)
WO (1) WO2004017403A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010150332A1 (ja) * 2009-06-24 2010-12-29 パナソニック株式会社 半導体装置及びその製造方法
CN102332398A (zh) * 2011-10-28 2012-01-25 上海华力微电子有限公司 一种双高k栅介质/金属栅结构的制作方法
WO2012035684A1 (ja) * 2010-09-14 2012-03-22 パナソニック株式会社 半導体装置及びその製造方法

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041562B2 (en) * 2003-10-29 2006-05-09 Freescale Semiconductor, Inc. Method for forming multiple gate oxide thickness utilizing ashing and cleaning
US6979623B2 (en) * 2003-12-17 2005-12-27 Texas Instruments Incorporated Method for fabricating split gate transistor device having high-k dielectrics
KR20050070837A (ko) * 2003-12-31 2005-07-07 동부아남반도체 주식회사 금속 옥사이드 반도체 소자의 플라즈마 손상방지를 위한식각방법
US7115947B2 (en) * 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
US20050250258A1 (en) * 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7144784B2 (en) * 2004-07-29 2006-12-05 Freescale Semiconductor, Inc. Method of forming a semiconductor device and structure thereof
US6946349B1 (en) * 2004-08-09 2005-09-20 Chartered Semiconductor Manufacturing Ltd. Method for integrating a SONOS gate oxide transistor into a logic/analog integrated circuit having several gate oxide thicknesses
DE102004040943B4 (de) * 2004-08-24 2008-07-31 Qimonda Ag Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7071038B2 (en) * 2004-09-22 2006-07-04 Freescale Semiconductor, Inc Method of forming a semiconductor device having a dielectric layer with high dielectric constant
US20060088962A1 (en) * 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
DE102004063532A1 (de) * 2004-12-30 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Gateisolationsschichten mit unterschiedlichen Eigenschaften
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7214590B2 (en) * 2005-04-05 2007-05-08 Freescale Semiconductor, Inc. Method of forming an electronic device
US8405165B2 (en) * 2005-06-07 2013-03-26 International Business Machines Corporation Field effect transistor having multiple conduction states
US7544596B2 (en) * 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7972974B2 (en) * 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
KR100762239B1 (ko) * 2006-05-03 2007-10-01 주식회사 하이닉스반도체 반도체 소자의 pmos 트랜지스터, 이를 포함하는 반도체소자와 그의 제조 방법
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7518145B2 (en) * 2007-01-25 2009-04-14 International Business Machines Corporation Integrated multiple gate dielectric composition and thickness semiconductor chip and method of manufacturing the same
US7768080B2 (en) * 2007-07-30 2010-08-03 Hewlett-Packard Development Company, L.P. Multilayer dielectric
US7709331B2 (en) * 2007-09-07 2010-05-04 Freescale Semiconductor, Inc. Dual gate oxide device integration
US8460996B2 (en) 2007-10-31 2013-06-11 Freescale Semiconductor, Inc. Semiconductor devices with different dielectric thicknesses
US8017469B2 (en) * 2009-01-21 2011-09-13 Freescale Semiconductor, Inc. Dual high-k oxides with sige channel
US7944004B2 (en) * 2009-03-26 2011-05-17 Kabushiki Kaisha Toshiba Multiple thickness and/or composition high-K gate dielectrics and methods of making thereof
US7943460B2 (en) 2009-04-20 2011-05-17 International Business Machines Corporation High-K metal gate CMOS
JP5268792B2 (ja) * 2009-06-12 2013-08-21 パナソニック株式会社 半導体装置
US8377807B2 (en) * 2010-09-30 2013-02-19 Suvolta, Inc. Method for minimizing defects in a semiconductor substrate due to ion implantation
US9637775B2 (en) 2012-02-13 2017-05-02 Neumodx Molecular, Inc. System and method for processing biological samples
US11485968B2 (en) 2012-02-13 2022-11-01 Neumodx Molecular, Inc. Microfluidic cartridge for processing and detecting nucleic acids
US9738887B2 (en) 2012-02-13 2017-08-22 Neumodx Molecular, Inc. Microfluidic cartridge for processing and detecting nucleic acids
US11648561B2 (en) 2012-02-13 2023-05-16 Neumodx Molecular, Inc. System and method for processing and detecting nucleic acids
KR101850409B1 (ko) 2012-03-15 2018-06-01 삼성전자주식회사 듀얼 게이트 절연막을 갖는 반도체 장치의 제조 방법
CN103824771A (zh) * 2012-11-16 2014-05-28 中芯国际集成电路制造(上海)有限公司 栅氧化层的形成方法
US9059022B2 (en) 2012-12-28 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US9048335B2 (en) 2013-03-01 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating multiple gate stack compositions
US9373501B2 (en) * 2013-04-16 2016-06-21 International Business Machines Corporation Hydroxyl group termination for nucleation of a dielectric metallic oxide
US8987793B2 (en) * 2013-04-23 2015-03-24 Broadcom Corporation Fin-based field-effect transistor with split-gate structure
CN104183470B (zh) * 2013-05-21 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
TW201528486A (zh) * 2014-01-15 2015-07-16 Silicon Optronics Inc 影像感測裝置及其製造方法
CN104952734B (zh) * 2015-07-16 2020-01-24 矽力杰半导体技术(杭州)有限公司 半导体结构及其制造方法
CN108122750B (zh) * 2016-11-29 2020-06-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US10002939B1 (en) 2017-02-16 2018-06-19 International Business Machines Corporation Nanosheet transistors having thin and thick gate dielectric material
CN108630605B (zh) * 2017-03-22 2020-12-18 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320238B1 (en) 1996-12-23 2001-11-20 Agere Systems Guardian Corp. Gate structure for integrated circuit fabrication
US6358819B1 (en) 1998-12-15 2002-03-19 Lsi Logic Corporation Dual gate oxide process for deep submicron ICS
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
JP2001060630A (ja) 1999-08-23 2001-03-06 Nec Corp 半導体装置の製造方法
US6448127B1 (en) * 2000-01-14 2002-09-10 Advanced Micro Devices, Inc. Process for formation of ultra-thin base oxide in high k/oxide stack gate dielectrics of mosfets
JP2001284463A (ja) 2000-03-30 2001-10-12 Nec Corp 半導体装置およびその製造方法
JP2001298095A (ja) 2000-04-13 2001-10-26 Nec Corp Mos型半導体装置の製造方法
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2002009168A (ja) * 2000-06-19 2002-01-11 Nec Corp 半導体装置及びその製造方法
JP2002009169A (ja) 2000-06-20 2002-01-11 Nec Corp 半導体装置とその製造方法
US6268251B1 (en) 2000-07-12 2001-07-31 Chartered Semiconductor Manufacturing Inc. Method of forming MOS/CMOS devices with dual or triple gate oxide
JP2002134739A (ja) 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置及びその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010150332A1 (ja) * 2009-06-24 2010-12-29 パナソニック株式会社 半導体装置及びその製造方法
JP2011009313A (ja) * 2009-06-24 2011-01-13 Panasonic Corp 半導体装置及びその製造方法
WO2012035684A1 (ja) * 2010-09-14 2012-03-22 パナソニック株式会社 半導体装置及びその製造方法
JP2012064648A (ja) * 2010-09-14 2012-03-29 Panasonic Corp 半導体装置及びその製造方法
CN102332398A (zh) * 2011-10-28 2012-01-25 上海华力微电子有限公司 一种双高k栅介质/金属栅结构的制作方法

Also Published As

Publication number Publication date
US6787421B2 (en) 2004-09-07
US20040032001A1 (en) 2004-02-19
KR20050054920A (ko) 2005-06-10
WO2004017403A1 (en) 2004-02-26
AU2003285819A1 (en) 2004-03-03
CN1675759A (zh) 2005-09-28
TW200414529A (en) 2004-08-01

Similar Documents

Publication Publication Date Title
US6787421B2 (en) Method for forming a dual gate oxide device using a metal oxide and resulting device
US7323420B2 (en) Method for manufacturing multi-thickness gate dielectric layer of semiconductor device
JP4002868B2 (ja) デュアルゲート構造およびデュアルゲート構造を有する集積回路の製造方法
JP3730962B2 (ja) 半導体装置の製造方法
TWI292589B (en) Structure and fabrication method of multiple gate dielectric layers
JP2008508718A (ja) 半導体デバイスの形成方法およびその構造
EP1388892A2 (en) A triple gate oxide process with high-gate dielectric
US6586293B1 (en) Semiconductor device and method of manufacturing the same
US5970345A (en) Method of forming an integrated circuit having both low voltage and high voltage MOS transistors
US6531368B1 (en) Method of fabricating a semiconductor device having a metal oxide high-k gate insulator by localized laser irradiation and a device thereby formed
US20070218598A1 (en) Method for forming ultra thin low leakage multi gate devices
US6495422B1 (en) Methods of forming high-k gate dielectrics and I/O gate oxides for advanced logic application
JP3539491B2 (ja) 半導体装置の製造方法
JP3544622B2 (ja) 二重酸化膜の形成方法
US7393787B2 (en) Formation of nitrogen containing dielectric layers having a uniform nitrogen distribution therein using a high temperature chemical treatment
US6579766B1 (en) Dual gate oxide process without critical resist and without N2 implant
JP4588483B2 (ja) 半導体装置
US20070218636A1 (en) Method for forming ultra thin low leakage multi gate devices using a masking layer over the semiconductor substrate
JP3770250B2 (ja) 半導体装置の製造方法
JP2004079606A (ja) 高誘電率膜を有する半導体装置及びその製造方法
US20070281429A1 (en) Method for fabricating semiconductor device
JP2005064052A (ja) 半導体装置の製造方法
JP5177980B2 (ja) 半導体装置およびその製造方法
JP2000332125A (ja) 半導体装置及びその製造方法
WO2023283973A1 (zh) 半导体结构的制作方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060531

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060531

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090318

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090401

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090908