CN1675759A - 使用金属氧化物形成双重栅极氧化物器件的方法及其合成器件 - Google Patents

使用金属氧化物形成双重栅极氧化物器件的方法及其合成器件 Download PDF

Info

Publication number
CN1675759A
CN1675759A CNA038194023A CN03819402A CN1675759A CN 1675759 A CN1675759 A CN 1675759A CN A038194023 A CNA038194023 A CN A038194023A CN 03819402 A CN03819402 A CN 03819402A CN 1675759 A CN1675759 A CN 1675759A
Authority
CN
China
Prior art keywords
metal oxide
dielectric
grid
layer
covers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA038194023A
Other languages
English (en)
Inventor
大卫·C·吉尔默
克里斯托佛·C·霍布斯
曾兴黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of CN1675759A publication Critical patent/CN1675759A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/981Utilizing varying dielectric thickness

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

使用单层高k电介质层,优选使用金属氧化物,形成具有两种不同栅极电介质厚度的半导体器件(10)。在用于更高的电压需要的器件的区,例如I/O区(24)中形成较厚的第一栅极电介质(16)。在用于更低的电压需要的器件的区,例如磁心器件区(22)中形成较薄的第二栅极电介质(20)。优选第一和第二电介质为二氧化硅或氮氧化硅。在两种电介质上淀积金属氧化物(26),随后是栅电极材料(28)的淀积。通过使用单层金属氧化物层以形成每个晶体管的栅极电介质堆栈,与高质量二氧化硅或氮氧化硅电介质层一起,可避免与金属氧化物的选择性蚀刻有关的问题,如与金属氧化物与损坏或处理的表面之间的各种界面有关的问题。

Description

使用金属氧化物形成双重 栅极氧化物器件的方法及其合成器件
技术领域
本发明涉及一种半导体器件,更具体地涉及一种形成为具有双重栅极电介质厚度并使用例如金属氧化物的高-K栅极电介质材料的半导体器件。
背景技术
在集成电路的制造中,通常需要在相同的半导体衬底或晶片上形成具有不同栅极电介质厚度的晶体管。例如,用于输入/输出(I/O)器件的晶体管需要比例如用于磁心逻辑的晶体管更厚的栅极电介质。用于形成不同厚度的常规工艺称为DGO工艺,其代表双重栅极氧化物。在常规DOG工艺中,形成“厚”二氧化硅层(例如用于I/O器件),并使用抗蚀剂掩膜来遮蔽I/O区中的厚二氧化硅层。然后蚀刻掉厚二氧化硅层,或换句话说自非遮蔽区移去厚二氧化硅层,其为应形成磁心逻辑器件的区。移去掩膜且接着在磁心逻辑器件区上生长薄的二氧化硅层。然后在衬底上淀积栅极电极材料,一般为多晶硅,在这一点包括两种不同厚度的栅极电介质。然后将栅极电极材料和栅极电介质图形化,并蚀刻以形成每个晶体管的栅电极和氧化物堆栈。
作为生产具有两种不同栅极电介质厚度的晶体管的可制造的和成本效率的方法,在该产业中,上述的DGO工艺获得了肯定。但是由于晶体管尺寸的缩小,半导体产业转而以例如金属氧化物的高K电介质材料(即,具有更高介电常数的电介质)替代传统二氧化硅栅极电介质。但是因为在硅衬底上金属氧化物不能如二氧化硅般热生长,因此在常规DGO工艺中,如果技术人员企图仅仅以金属氧化物替代二氧化硅,则存在与以多重金属氧化物淀积物形成不同氧化物厚度相关以及与金属氧化物的蚀刻相关的问题。此外,在半导体制造工艺中,需要以金属氧化物或其它高K电介质材料达到双重栅极电介质厚度。
附图说明
通过例证描述本发明,本发明不被附图所限制,其中同样的参考符号指相似的元件,其中:
图1-4是依照本发明的一个实施例描述随着其经受的工艺而形成具有两种栅极电介质厚度(例如一种厚度用于磁心器件,且一种用于I/O器件)的半导体器件的部分截面图;
图5是依照本发明的另一个实施例、在其中形成三个不同栅极电介质厚度而形成的半导体器件的部分截面图;和
图6是依照本发明的又一个实施例、在其中使用金属氧化物层堆栈而不是单层金属氧化物层作为用于每种将形成的类型的器件的栅极电介质部分(例如用于磁心器件和I/O器件)而形成的半导体器件的部分截面图。
本领域技术人员应理解,为了简明和清楚而描述附图中的元件,元件不必按比例画出。例如,可相对于其它元件放大附图中某些元件的尺寸,以帮助增进对本发明的实施例的理解。
具体实施方式
本发明整合高K电介质材料,优选金属氧化物,在双重栅极工艺程序中,使用单层金属氧化物淀积物来形成不同厚度的多重栅极电介质堆栈。依据本发明,在制备的衬底表面上形成金属氧化物,其在衬底的两个不同区域(例如磁心逻辑区和I/O区)之间,该衬底已具有氧化物厚度当量(equivalent oxide layer)(EOT)差。例如,在两种不同厚度的二氧化硅或氮氧化硅上淀积单层金属氧化物层。因此,不需要将金属氧化物选择性地蚀刻至需要栅极质量表面的硅衬底下面(其可破坏衬底表面)。而且依据本发明,单层金属氧化物层形成具有高质量的二氧化硅或氮氧化硅层界面,因为相反于具有硅表面的界面,该硅表面已被破坏,或换句话说因为以金属氧化物电介质、使用常规DGO工艺方法的结果处理。由此,由于污染物或破坏的表面的结果而造成界面的不规则性,依照本发明形成的器件不具有退化的性能,例如漏电流。
现在参照图1-4,依照本发明的一个实施例制造半导体器件10。如图1所示,半导体器件10包括半导体衬底12,其在优选实施例中为单晶硅衬底(且有时称为晶片),但是其可替代由其它半导体衬底材料形成。在衬底12中,为了将即将形成的各个单个器件电隔离,以常规方法形成沟槽隔离区14,优选浅沟槽隔离区。当形成沟槽隔离区14之后,在衬底12上形成第一栅极电介质16。第一栅极电介质16优选为二氧化硅或氮氧化硅,优选依照常规习惯通过热氧化而形成。栅极电介质16的厚度由将在I/O区24中形成的器件的具体器件需要来决定,在下面将进一步解释,但是通常在30-50(3-5nm)的范围内。
当形成第一栅极电介质16之后,在衬底上形成(光致)抗蚀剂掩膜18以遮蔽掉部分第一栅极电介质层。如图1所示,半导体器件10包括两个不同的器件区,即磁心器件区22和I/O器件区24。操作中,在磁心器件区22中将形成的器件需要更薄的栅极电介质,其操作比例如在I/O器件区24中将形成的I/O器件更低的电压,该I/O器件可承受用于I/O操作所需的更高的电压。此外,形成抗蚀剂掩膜18以保护部分第一栅极电介质16,其将部分地作为用于更高电压I/O器件的栅极电介质。其它电介质材料可替代用于第一栅极电介质16。由于对这些材料的工业理解、形成高栅极质量膜的能力和由于其可通过选择性地生长技术而不需要均厚淀积及蚀刻步骤来形成,二氧化硅和氮氧化硅是具有吸引力的选择。
接着蚀刻半导体器件10以移去磁心器件区22中第一栅极电介质16的无保护部分,如图2所示。接着移去抗蚀剂掩膜18,并在磁心器件区22内的衬底12的暴露部分上形成第二栅极电介质20。优选实施例中,第二栅极电介质20也是二氧化硅或氮氧化硅,但是与第一栅极电介质16相似,可使用其它材料。依照常规惯例,可通过热氧化和/或化学氧化来形成第二栅极电介质。栅极电介质20的厚度也由下面将进一步解释的在磁心器件区22中将形成的器件的具体器件需要来决定,但是通常4-12(0.4-1.2nm)的范围内。由于第二栅极电介质20非常薄,使用热氧化工艺难以恰当地控制厚度或得到足够质量的氧化物,由此化学氧化是有用的。例如,通过在臭氧水(ozonatedwater)中清洗衬底,通过生长薄氧化物可形成薄的电介质。可使用热处理和化学处理的组合来形成第二电介质20。对于第二栅极电介质20来说,由于将衬底暴露在周围环境中或其它含氧环境中的结果、其为在衬底12上生长的天然氧化物就足够了。在另一个实施例中,例如可通过原子层淀积来淀积第二栅极电介质20。
在形成第二栅极电介质20的进程中,取决于用来形成第二栅极电介质20的技术,可改变第一栅极电介质16的厚度,并应当考虑第一栅极电介质16的原始淀积或生长厚度的选择。但是,除非在第一栅极电介质16上淀积第二栅极电介质20,而不是通过衬底表面的热反应或化学反应来形成第二栅极电介质20,通常不预先考虑第一栅极电介质16的厚度将重大地改变。
现在形成了两种不同厚度的栅极电介质,在半导体器件10上淀积高K电介质(通常k>4,优选k>6,且更优选k>7)。在优选实施例中,该高k电介质为金属氧化物,例如图3所示的金属氧化物26。用于金属氧化物26的适当材料优选地包括氧化铪(HfO2),硅化铪(HfxSiyOz),或铝酸镧(LaAlO3),但是氧化镧、铝酸铪、氧化锆和硅化锆及其它类似材料,也是适合的高k电介质。高K电介质层的厚度将取决于衬底的每个区中(磁心区和I/O区)具体器件的需要,但是通常相信金属氧化物的厚度位于大约15-50(1.5-5.0nm)之间。第一栅极电介质16和第二栅极电介质20的下层厚度也将影响高k电介质的厚度的选择。可选择高k电介质的厚度,由此当加上第一栅极电介质16(I/O器件电介质)厚度或第二栅极电介质20(磁心器件电介质)厚度的EOT时,其氧化物厚度当量(EOT)提供分别适用于I/O器件和磁心器件的EOT总量。类似地,将使用该计算来决定第一和第二栅极电介质的原始淀积或生长厚度。由于淀积金属氧化物26作为单层均厚淀积,跨越衬底表面其厚度将不改变多少,且由此本领域技术人员可使用第一和第二电介质的厚度作为“变量”来得到用于磁心和I/O器件的最终EOT。
如图3所示,当在相同衬底上仍然得到用于不同器件的两个不同EOT时,可使用单层金属氧化物层。如果本领域技术人员将金属氧化物组合到常规双重栅极氧化物(DGO)工艺中,将需要两个不同的金属氧化物厚度以满足磁心器件和I/O器件的需要。与在相同衬底上形成两个不同金属氧化物厚度相关的问题包括:1)在硅衬底上均匀地和选择性地蚀刻金属氧化物的难度;和2)在金属氧化物和硅衬底之间以及在I/O器件区中的各个顶部淀积的金属氧化物之间形成高质量的界面的难度。依据本发明,使用单层金属氧化物淀积物,在制备的衬底表面上形成金属氧化物,该衬底具有磁心器件区22和I/O器件区24之间的EOT差。不需要将金属氧化物选择性地蚀刻至硅衬底下面,该下面的硅衬底需要栅质量表面。同时依据本发明,由于与硅表面相对,该硅表面已损坏或换句话说以金属氧化物的常规DGO工艺处理,金属氧化物形成具有高质量二氧化硅或氮氧化硅层的界面。本发明的另一个益处在于:在金属氧化物层上没有金属氧化物淀积物,该金属氧化物层已损坏或由常规DGO工艺来处理。
当淀积了金属氧化物层26之后,在金属氧化物上淀积栅电极材料28,图形化和蚀刻半导体器件10以形成栅极堆栈,如图4所示。通常栅电极材料28为导电的(掺杂的)多晶硅或金属(例如氮化钛)。优选地,使用相同的蚀刻掩膜来图形化I/O与磁心器件区中的栅极堆栈,但是蚀刻需求使得使用两个掩膜更加理想,每一个用于两个区中的每一个。这一点,常规工艺完成了晶体管和集成电路的构造(例如,平面,间隙壁,层间绝缘层,互连和钝化构造)。
依照本发明的另一个实施例,可使用相似的工艺以形成三重栅极氧化物(TGO)器件。在某些应用中,除了磁心或I/O器件以外,需要甚至更高压的器件且由此需要三种不同栅极电介质厚度。如图5所示,半导体器件50包括分别具有增大的物理厚度的栅极电介质52、54和56。栅极电介质52可作为用于磁心逻辑器件的栅极电介质。栅极电介质54可作为用于I/O器件的栅极电介质。而且,栅极电介质56可作为用于高压器件的栅极电介质。可以与参考图1-2中所述的栅极电介质16和20的方法相似的方法形成这些栅极电介质。差别在于:形成的第一电介质层为所需的最厚的电介质(例如,用于最高压器件),且在形成用于磁心器件所需的最薄电介质之前,形成中间厚度的栅极电介质(例如用于I/O器件)。这将通过以下实现:1)在将形成高压器件的区中遮蔽最厚的电介质;2)在磁心器件和I/O器件区中蚀刻该(最厚)电介质;3)在磁心器件区和I/O器件区中都形成用于I/O器件的栅极电介质;4)在I/O和高压器件区中遮蔽I/O栅极电介质和最厚电介质;5)在磁心器件区中蚀刻I/O电介质;6)形成磁心器件的栅极电介质。当形成三种不同的EOT之后,在衬底上淀积例如金属氧化物的单层高k电介质,且其工艺将继续如参考图3-4中先前所述的。
在又一个实施例中,依照本发明的工艺包括与单层金属氧化物层相对的金属氧化物堆栈。如图6所示,如参照图1-2中的先前所述,形成具有两种不同EOT的半导体器件60。此后,在衬底上均厚淀积第一金属氧化物层62,然后是第二金属氧化物层64的均厚淀积。在优选实施例中,两个金属氧化物层为不同的材料。使用两种不同金属氧化物的一个优点是:层内的晶粒边界可以不对准以减小晶体管中的漏电流。使用两种不同材料的另一个原因在于:一种材料可具有作为栅极电介质的所需性能,但是可以不与用来形成上覆栅电极的材料一致。由此,可选择与栅电极一致的第二金属氧化物。在一个实施例中,第一金属氧化物层为氧化锆(ZrO2)或氧化铪(HfO2),且第二金属氧化物为氧化铝(Al2O3)。当使用多晶硅栅电极材料时,氧化铝将减轻多晶硅与氧化铪或氧化锆之间的某些兼容关系。通常,由于主要用来作为覆盖层而不是晶体管的栅极电介质锭,因此第二或上部金属氧化物层比第一金属氧化物层薄。金属栅电极也受益于电介质材料锭上的覆盖层的使用。
在前述说明中,参考具体实施例描述了本发明。但是,本领域技术人员可以理解,不脱离如权利要求所提出的本发明的范围,可进行各种修改和变化。例如,当将本发明描述为形成磁心逻辑器件与I/O器件以具有不同的栅极电介质厚度时,可将本发明用于结合具有或需要两种不同栅极电介质厚度的任意两种器件。此外,将说明书和附图看成是说明性的而不是限制性的,且所有这样的修改都包括在本发明的范围内。
上面以相关具体实施例描述了益处、优点和问题的解决方案。但是,益处、优点和问题的解决方案,以及可导致益处、优点或解决方案发生或使之变得更加明确的元素,不应被视为任何或所有权利要求的决定性的、必须的或必要的特征或元素。如下文中所用的,术语“组成”、“包括”、或其它任何变化,是用来覆盖非排除性的包含,例如包括一系列的要素的工艺、方法、物品或装置,其不仅包括那些列出的要素,而且还包括没有特意列出的或工艺、方法、物品或装置所固有的其它要素。

Claims (21)

1.一种形成半导体器件的方法,包括:
提供半导体衬底;
形成覆盖半导体衬底的第一栅极电介质层;
移去覆盖半导体衬底的第一区的部分第一栅极电介质层;
形成覆盖半导体衬底的第一区的第二栅极电介质层;和
形成覆盖第一栅极电介质层和第二栅极电介质层的金属氧化物层。
2.如权利要求1的方法,其中第一栅极电介质层包括选自由氧化硅和氮氧化硅构成的组的材料。
3.如权利要求1的方法,其中第二栅极电介质层包括选自由氧化硅和氮氧化硅构成的组的材料。
4.如权利要求1的方法,其中金属氧化物层包括选自由二氧化铪、硅化铪和铝酸镧构成的组的材料。
5.如权利要求1的方法,还包括:
形成覆盖金属氧化物层的栅极层;和
将栅极层、金属氧化物层、第一栅极电介质层和第二栅极电介质层图形化,以形成第一栅极堆栈和第二栅极堆栈。
6.如权利要求5的方法,其中在磁心逻辑器件中使用第一栅极堆栈,且在I/O器件中使用第二栅极堆栈。
7.如权利要求1的方法,还包括:
移去覆盖半导体衬底的第二区的部分第二栅极电介质层;和
形成覆盖半导体衬底的第二区的第三栅极电介质层;
其中,形成金属氧化物层包括:形成覆盖第一栅极电介质层、第二栅极电介质层和第三栅极电介质层的金属氧化物层。
8.如权利要求1的方法,其中第一和第二电介质层具有不同的厚度。
9.一种形成半导体器件的方法,包括:
提供半导体衬底;
形成覆盖半导体衬底的第一电介质材料,其中覆盖半导体衬底的第一区的第一电介质材料的第一部分具有第一厚度,且覆盖半导体衬底的第二区的第一电介质材料的第二部分具有与第一厚度不同的第二厚度;
形成覆盖第一电介质材料的高k电介质层;
形成覆盖高k电介质层的栅极层;和
将栅极层和高k电介质层图形化以在半导体衬底的第一区内形成第一器件的栅极,且在半导体衬底的第二区内形成第二器件的栅极。
10.如权利要求9的方法,其中第一电介质材料包括选自由氧化硅和氮氧化硅构成的组的材料。
11.如权利要求9的方法,其中高k电介质层包括金属氧化物。
12.如权利要求11的方法,其中金属氧化物包含选自由二氧化铪、硅化铪和铝酸镧构成的组的材料。
13.一种半导体器件,包括:
覆盖半导体衬底的第一器件,所述第一器件包括:
覆盖半导体衬底且具有第一厚度的第一电介质;和
覆盖第一电介质的第一金属氧化物;和
覆盖半导体衬底、与第一器件间隔的第二器件,所述第二器件包括:
覆盖半导体衬底且具有不同于第一厚度的第二厚度的第二电介质;和
覆盖第二电介质的第二金属氧化物。
14.如权利要求13的半导体器件,还包括覆盖第一金属氧化物的第一栅极和覆盖第二金属氧化物的第二栅极。
15.如权利要求14的半导体器件,其中第一和第二栅极的每一个包含金属。
16.如权利要求14的半导体器件,其中第一和第二栅极的每一个包含多晶硅。
17.如权利要求16的半导体器件,还包括覆盖第一金属氧化物和在第一栅极下面的第三电介质,以及覆盖第二金属氧化物和在第二栅极下面的第四电介质。
18.如权利要求14的半导体器件,其中第一和第二电介质的每一个包含选自由氧化硅和氮氧化硅构成的组的材料。
19.如权利要求14的半导体器件,其中第一金属氧化物和第二金属氧化物包含相同的金属氧化物。
20.如权利要求19的半导体器件,其中第一金属氧化物和第二金属氧化物具有相同的厚度。
21.如权利要求19的半导体器件,其中第一和第二金属氧化物的每一个包括选自由二氧化铪、硅化铪和铝酸镧构成的组的材料。
CNA038194023A 2002-08-15 2003-06-16 使用金属氧化物形成双重栅极氧化物器件的方法及其合成器件 Pending CN1675759A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/219,522 US6787421B2 (en) 2002-08-15 2002-08-15 Method for forming a dual gate oxide device using a metal oxide and resulting device
US10/219,522 2002-08-15

Publications (1)

Publication Number Publication Date
CN1675759A true CN1675759A (zh) 2005-09-28

Family

ID=31714754

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA038194023A Pending CN1675759A (zh) 2002-08-15 2003-06-16 使用金属氧化物形成双重栅极氧化物器件的方法及其合成器件

Country Status (7)

Country Link
US (1) US6787421B2 (zh)
JP (1) JP2005536053A (zh)
KR (1) KR20050054920A (zh)
CN (1) CN1675759A (zh)
AU (1) AU2003285819A1 (zh)
TW (1) TW200414529A (zh)
WO (1) WO2004017403A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915437A (zh) * 2012-12-28 2014-07-09 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN104124242A (zh) * 2013-04-23 2014-10-29 美国博通公司 分离式多栅极场效应晶体管
CN104183470A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN108122750A (zh) * 2016-11-29 2018-06-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN108630605A (zh) * 2017-03-22 2018-10-09 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041562B2 (en) * 2003-10-29 2006-05-09 Freescale Semiconductor, Inc. Method for forming multiple gate oxide thickness utilizing ashing and cleaning
US6979623B2 (en) * 2003-12-17 2005-12-27 Texas Instruments Incorporated Method for fabricating split gate transistor device having high-k dielectrics
KR20050070837A (ko) * 2003-12-31 2005-07-07 동부아남반도체 주식회사 금속 옥사이드 반도체 소자의 플라즈마 손상방지를 위한식각방법
US7115947B2 (en) * 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
US20050250258A1 (en) * 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7144784B2 (en) * 2004-07-29 2006-12-05 Freescale Semiconductor, Inc. Method of forming a semiconductor device and structure thereof
US6946349B1 (en) * 2004-08-09 2005-09-20 Chartered Semiconductor Manufacturing Ltd. Method for integrating a SONOS gate oxide transistor into a logic/analog integrated circuit having several gate oxide thicknesses
DE102004040943B4 (de) * 2004-08-24 2008-07-31 Qimonda Ag Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7071038B2 (en) * 2004-09-22 2006-07-04 Freescale Semiconductor, Inc Method of forming a semiconductor device having a dielectric layer with high dielectric constant
US20060088962A1 (en) * 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
DE102004063532A1 (de) * 2004-12-30 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Gateisolationsschichten mit unterschiedlichen Eigenschaften
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7214590B2 (en) * 2005-04-05 2007-05-08 Freescale Semiconductor, Inc. Method of forming an electronic device
US8405165B2 (en) * 2005-06-07 2013-03-26 International Business Machines Corporation Field effect transistor having multiple conduction states
US7544596B2 (en) * 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
KR100762239B1 (ko) * 2006-05-03 2007-10-01 주식회사 하이닉스반도체 반도체 소자의 pmos 트랜지스터, 이를 포함하는 반도체소자와 그의 제조 방법
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7518145B2 (en) * 2007-01-25 2009-04-14 International Business Machines Corporation Integrated multiple gate dielectric composition and thickness semiconductor chip and method of manufacturing the same
US7768080B2 (en) * 2007-07-30 2010-08-03 Hewlett-Packard Development Company, L.P. Multilayer dielectric
US7709331B2 (en) * 2007-09-07 2010-05-04 Freescale Semiconductor, Inc. Dual gate oxide device integration
US8460996B2 (en) 2007-10-31 2013-06-11 Freescale Semiconductor, Inc. Semiconductor devices with different dielectric thicknesses
US8017469B2 (en) * 2009-01-21 2011-09-13 Freescale Semiconductor, Inc. Dual high-k oxides with sige channel
US7944004B2 (en) * 2009-03-26 2011-05-17 Kabushiki Kaisha Toshiba Multiple thickness and/or composition high-K gate dielectrics and methods of making thereof
US7943460B2 (en) * 2009-04-20 2011-05-17 International Business Machines Corporation High-K metal gate CMOS
JP5268792B2 (ja) * 2009-06-12 2013-08-21 パナソニック株式会社 半導体装置
JP2011009313A (ja) * 2009-06-24 2011-01-13 Panasonic Corp 半導体装置及びその製造方法
JP5444176B2 (ja) * 2010-09-14 2014-03-19 パナソニック株式会社 半導体装置
US8377807B2 (en) * 2010-09-30 2013-02-19 Suvolta, Inc. Method for minimizing defects in a semiconductor substrate due to ion implantation
CN102332398B (zh) * 2011-10-28 2012-12-12 上海华力微电子有限公司 一种双高k栅介质/金属栅结构的制作方法
US9637775B2 (en) 2012-02-13 2017-05-02 Neumodx Molecular, Inc. System and method for processing biological samples
US11485968B2 (en) 2012-02-13 2022-11-01 Neumodx Molecular, Inc. Microfluidic cartridge for processing and detecting nucleic acids
US11648561B2 (en) 2012-02-13 2023-05-16 Neumodx Molecular, Inc. System and method for processing and detecting nucleic acids
US9738887B2 (en) 2012-02-13 2017-08-22 Neumodx Molecular, Inc. Microfluidic cartridge for processing and detecting nucleic acids
KR101850409B1 (ko) 2012-03-15 2018-06-01 삼성전자주식회사 듀얼 게이트 절연막을 갖는 반도체 장치의 제조 방법
CN103824771A (zh) * 2012-11-16 2014-05-28 中芯国际集成电路制造(上海)有限公司 栅氧化层的形成方法
US9048335B2 (en) * 2013-03-01 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating multiple gate stack compositions
US9373501B2 (en) * 2013-04-16 2016-06-21 International Business Machines Corporation Hydroxyl group termination for nucleation of a dielectric metallic oxide
TW201528486A (zh) * 2014-01-15 2015-07-16 Silicon Optronics Inc 影像感測裝置及其製造方法
CN104952734B (zh) * 2015-07-16 2020-01-24 矽力杰半导体技术(杭州)有限公司 半导体结构及其制造方法
US10002939B1 (en) 2017-02-16 2018-06-19 International Business Machines Corporation Nanosheet transistors having thin and thick gate dielectric material

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320238B1 (en) 1996-12-23 2001-11-20 Agere Systems Guardian Corp. Gate structure for integrated circuit fabrication
US6358819B1 (en) 1998-12-15 2002-03-19 Lsi Logic Corporation Dual gate oxide process for deep submicron ICS
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
JP2001060630A (ja) 1999-08-23 2001-03-06 Nec Corp 半導体装置の製造方法
US6448127B1 (en) * 2000-01-14 2002-09-10 Advanced Micro Devices, Inc. Process for formation of ultra-thin base oxide in high k/oxide stack gate dielectrics of mosfets
JP2001284463A (ja) 2000-03-30 2001-10-12 Nec Corp 半導体装置およびその製造方法
JP2001298095A (ja) 2000-04-13 2001-10-26 Nec Corp Mos型半導体装置の製造方法
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2002009168A (ja) * 2000-06-19 2002-01-11 Nec Corp 半導体装置及びその製造方法
JP2002009169A (ja) 2000-06-20 2002-01-11 Nec Corp 半導体装置とその製造方法
US6268251B1 (en) 2000-07-12 2001-07-31 Chartered Semiconductor Manufacturing Inc. Method of forming MOS/CMOS devices with dual or triple gate oxide
JP2002134739A (ja) 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置及びその製造方法

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915437A (zh) * 2012-12-28 2014-07-09 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN103915437B (zh) * 2012-12-28 2016-12-28 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US9679817B2 (en) 2012-12-28 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US10276447B2 (en) 2012-12-28 2019-04-30 Taiwan Semiconductor Manufacting Company, Ltd. Semiconductor structures and methods of forming the same
CN104124242A (zh) * 2013-04-23 2014-10-29 美国博通公司 分离式多栅极场效应晶体管
CN104124242B (zh) * 2013-04-23 2017-04-12 安华高科技通用Ip(新加坡)公司 分离式多栅极场效应晶体管
CN104183470A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN104183470B (zh) * 2013-05-21 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN108122750A (zh) * 2016-11-29 2018-06-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN108122750B (zh) * 2016-11-29 2020-06-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN108630605A (zh) * 2017-03-22 2018-10-09 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US11562932B2 (en) 2017-03-22 2023-01-24 Semiconductor Manufacturing International (Shanghai) Corporation Method to improve CMOS device performance

Also Published As

Publication number Publication date
AU2003285819A1 (en) 2004-03-03
WO2004017403A1 (en) 2004-02-26
KR20050054920A (ko) 2005-06-10
US6787421B2 (en) 2004-09-07
US20040032001A1 (en) 2004-02-19
JP2005536053A (ja) 2005-11-24
TW200414529A (en) 2004-08-01

Similar Documents

Publication Publication Date Title
CN1675759A (zh) 使用金属氧化物形成双重栅极氧化物器件的方法及其合成器件
KR100500013B1 (ko) 반도체장치 및 그 제조방법
TW519760B (en) Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
EP1124262B1 (en) Integrated circuit comprising a multilayer dielectric stack and method
CN1173389C (zh) 一种金属氧化物半导体器件及其制造方法
US6696332B2 (en) Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US20030215995A1 (en) Chemical vapor deposition of silicate high dielectric constant materials
US6867101B1 (en) Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
US7390719B2 (en) Method of manufacturing a semiconductor device having a dual gate structure
US20060081949A1 (en) Semiconductor device and process for producing the same
US20060125026A1 (en) Semiconductor device with high-k dielectric layer
CN1443367A (zh) 用于cmos过程的双金属栅极晶体管
US6841439B1 (en) High permittivity silicate gate dielectric
DE102007005328A1 (de) CMOS-Halbleiterbauelement und Herstellungsverfahren
CN1191611C (zh) 制作双栅极结构的方法
TW200903776A (en) Semiconductor device and method of fabricating the same
CN1875463A (zh) 于晶体管工艺中整合高k栅极电介质的方法
CN1457086A (zh) 基极制作方法
CN103915437A (zh) 半导体结构及其形成方法
CN1282224C (zh) 半导体结构的制造方法
US20020149042A1 (en) Transistor type ferroelectric body nonvolatile storage element and method of fabricating the same
CN114695538A (zh) 具有hkmg的mos晶体管及其制造方法
US7262098B2 (en) Manufacturing process of a semiconductor non-volatile memory cell
US20240006175A1 (en) Semiconductor device, method for manufacturing semiconductor device and memory
KR20010065672A (ko) Mml반도체소자의 듀얼게이트유전막 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication