JP2005509287A - 低温度におけるゲートスタック製造方法 - Google Patents

低温度におけるゲートスタック製造方法 Download PDF

Info

Publication number
JP2005509287A
JP2005509287A JP2003543069A JP2003543069A JP2005509287A JP 2005509287 A JP2005509287 A JP 2005509287A JP 2003543069 A JP2003543069 A JP 2003543069A JP 2003543069 A JP2003543069 A JP 2003543069A JP 2005509287 A JP2005509287 A JP 2005509287A
Authority
JP
Japan
Prior art keywords
layer
substrate
less
reactant
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003543069A
Other languages
English (en)
Other versions
JP2005509287A5 (ja
JP4746269B2 (ja
Inventor
スヴィ ピー. ハウカ
エリック シェロ
クリストフ エフ. ポメアーデ
マエス ジャン ウィレム フーベルト
マルコ ツォミネン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2005509287A publication Critical patent/JP2005509287A/ja
Publication of JP2005509287A5 publication Critical patent/JP2005509287A5/ja
Application granted granted Critical
Publication of JP4746269B2 publication Critical patent/JP4746269B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明は、集積回路などの基板上に、誘電体層を形成する方法に関する。本発明の一態様において、薄い界面層が形成される(30)。界面層は酸化物層であることが好ましく、また界面層を実質的にさらに成長させない方法によって、界面層上に高k材料を堆積するのが好ましい。例えば、約300℃以下における高k堆積の間、オキシダント源として水蒸気が使用できる。

Description

本発明は、集積回路において誘電体スタックを形成する方法および装置に関し、また詳細には、高k材料の下側にある薄い酸化膜界面層の形成に関する。
集積回路を製造するにあたって、半導体表面を覆う薄い誘電体層がしばしば要求される。従来のゲート誘電体は、高品質の二酸化ケイ素で形成され、典型的には「ゲート酸化物層」と呼ばれる。このような層は、単結晶シリコンウェハまたはエピタキシャルシリコン層から典型的に成長している。典型的なトランジスタにおいて、ゲート酸化物は、ゲート電極を、ソース領域とドレイン領域との間のチャンネル領域に静電的に結合している。
集積回路がより小型化しているのにつれて、ゲート酸化物層の厚さを薄くするのが望ましくなっている。しかし、超薄ゲート酸化物(例えば5nm未満)は、ピンホール、電荷の捕獲状態、およびホットキャリヤ注入効果への感受性を含む高い欠陥密度を示すことが見出されている。このような高い欠陥密度は、ゲート誘電体を通るリーク電流、および、0.25μm未満のゲート間隔による回路設計、すなわち、1/4ミクロン未満(sub−quarter−micron)技術では許容されない急速なデバイス破壊をもたらす。
実験室条件下の注意点を生かして欠陥密度を制御する可能性があるが、このような制御は、商業的な大量製造条件下では達成するのが困難である。その上、酸化物の完全無欠性が完全に維持されるとしても、量子力学的効果によりゲート酸化物の縮小に、基本的な限界が設定される。高電界では、直接トンネル効果が、フォウラ−ノルトハイムトンネル効果よりも支配的であり、酸化膜の縮小限界を大部分決定する。これらの縮小限界は、論理回路について約2nm、またダイナミックランダムアクセスメモリ(DRAM)回路における、よりリークに敏感なメモリアレイについて約3nmと見積られている。例えば、Hu他、「Thin Gate Oxides Promise High Reliability」、SEMICONDUCTOR INTERNATIONAL(1998年7月)、215〜222頁を参照されたい。
理論的には、ゲート誘電体中にSiO2よりも高い誘電率を有する材料を組み込むことにより、さらなるデバイスの縮小への扉が開かれる。このような材料は、それらがより高い誘電率を有するために、トンネル限界的挙動を示すことなく、より薄い等価酸化膜厚を達成することができるという形で、より薄くした二酸化ケイ素層と同様のキャパシタンスを示すことができる。一部の高誘電率材料の他の利点は、ホウ素浸透に対する抵抗性等の拡散バリヤー性および高い伝熱性である。
ゲート誘電体としてAl23およびZrO2などの高い誘電率の酸化膜を使用することは、夥しい最近の研究の焦点になっている。高k材料からの薄膜の堆積は、化学蒸着(CVD)、反応性スパッタリング、分子線エピタキシー(MBE)、および原子層堆積(ALD)を含む、数多くの技術によって達成されている。ALDは、原子レベルにおける膜厚および組成の制御をもたらし、高度に適応された堆積が得られるので、非常に有望な方法である。
ALDは、自己制御的方法であり、したがって反応前駆体の交代するパルスによって基板表面が飽和され、パルス1回当たりわずか1つの単層を残すに過ぎない。1回のパルスの吸着層が、同一パルスの気相反応物とは非反応性である表面末端部を残すように、堆積条件および前駆体を選択して、自己飽和反応を確実に生じさせる。異なった反応物による次のパルスが、前回の末端部と反応して、連続した堆積が可能になる。したがって、交代するパルスの各サイクルは、わずか約1つの、所望の材料の分子層を残すに過ぎない。ALD型方法の原理は、T.Suntolaにより、例えば、Handbook of Crystal Growth 3、Thin Films and Epitaxy、Part B:Growth Mechanisms and Dynamics、Chapter 14、Atomic Layer Epitaxy、601〜663頁、Elsevier Science B.V.1994中に示され、その開示は参照により本明細書中に組み込まれている。
金属酸化膜を堆積する典型的なALD方法において、1つの堆積サイクルは、金属前駆体に基板を曝露するステップと、反応チャンバから未反応の第1の反応物および反応副生物を除去するステップと、酸素前駆体に基板を曝露するステップと、それに続く第2の除去ステップを含む。シリコン上における高k金属酸化膜のALDにおける主な問題は、方法を開始した時点に、シリコン表面が酸素源に曝露される場合、シリコン表面は金属の単層で被覆されているだけである点であると示唆されている。したがって、典型的な成長条件下ではSiO2の層が形成される。その後の各サイクルで、この層が成長し、達成可能なキャパシタンスを制限する傾向がある。この可能性のある問題へのいくつかの解決策が提案されている。例えば、他の金属化合物と反応させる場合に、金属および酸素源の両方の役割を果たす金属アルコキシドを使用することによって、酸化ケイ素界面層を形成することなくシリコン基板上への酸化アルミニウムの堆積が可能であることが見出されている(Ritala他、Science 288:319〜321(2000))。
しかし、代替的なゲート誘電体材料としても、優れたシリコン/酸化膜界面特性をもたらすので、非常に薄い酸化ケイ素界面層が望ましいことも示唆されている。例えば、Yang他(Humantech Thesis Prize、Samsung Electronics(1999))は、酸化アルミニウムとシリコン基板の間における薄い酸化ケイ素界面層の存在により、酸化アルミニウム単独と比較して優れたゲート誘電体が得られることを示した。同様に、薄い酸化物層上に窒化ケイ素層を形成することにより、欠陥密度が低減される一方、全体的なゲート誘電体等価酸化膜厚がかなり小さくなることが見出されている。例えば、Kim他、「Ultra Thin(<3nm)High Quality Nitride/Oxide Stack Gate Dielectrics Fabricated by In−Situ Rapid Thermal Processing」、IEDM 97(1997)、463〜466頁を参照されたい。基板と高k材料との間に薄い界面酸化物層を堆積する方法が、例えば、米国特許第6144060号、および1999年12月23日出願の米国特許出願第09/471761号中に記載されている。
酸化ケイ素を熱的に成長させる前に、汚染を回避し、かつ優れた電気的性状をもたらすために、シリコン表面を清浄にするのが望ましい。とりわけ、通例「自然酸化物(native oxide)」として知られる、自然に形成される酸化膜に関して、表面を清浄にする。当技術分野でよく知られているように、自然酸化物は、室温で、清浄な室内環境にさらされる場合でさえも、裸のシリコン表面を覆って自然に形成される。典型的には、自然酸化物は、数オングストロームの酸化ケイ素を含み、したがって、形成しようとする誘電体膜の実質的部分を構成する。熱的酸化膜が自然酸化物を通って成長して、所望の誘電体層を完成することができるが、自然酸化物の品質および厚さは、シリコン表面にわたって終始一貫していない。その上、長期の輸送および/または保管からもたらされる自然酸化物は、不純物で典型的に汚染されている。
したがって、自然酸化物はしばしば、希フッ酸(HF)浴、またはHF蒸気エッチングにより表面から除去される。ウェハを希フッ酸(HF)浴に浸すことにより、自然酸化物のシリコン表面は清浄になり、表面には水素が末端部となり残る。同様に、HF蒸気エッチングにより、シリコン表面は清浄になり、ダングリングシリコン結合が末端部になるが、表面の末端部は実質的にフッ素を含む。
水素の末端部は、詳細には高温では、あまり安定ではない。水素原子が容易に脱離して、ダングリングシリコン結合を残し、これが大気中の汚染物質を吸引する。同じ場所の水素およびフッ素の末端部でも、大気中のオキシダントがHF処理とその後の処理との間に、末端部層を通って、やはり拡散する可能性がある。したがって、HF処理によりウェハ表面は清浄になるが、清浄化とさらなる処理との間の期間では、表面は不十分に保護されたまま残されている。
清浄なシリコン表面をより長期間維持することができる一つのやり方は、シリコン表面を清浄にした後、薄い酸化ケイ素層を急速に成長させることである。上述のように、超薄SiO2層は、シリコン構造と、高い誘電体誘電率の(高k誘電体)材料との間に、改良された界面特性をもたらすことができる。空気または水などの典型的なオキシダントへの室温曝露によるなどの、自然的な酸化膜の再成長から、非常に緩慢な反応が得られ、この反応は商業的製造には受け入れられない。
よく知られているように、酸化の間ウェハを加熱すると酸化速度を増加させることができる。残念なことに、500℃を超える温度での熱的酸化が原因となって、顕著な酸化が行われるレベルに温度が到達するかなり以前に、HF処理によって残された水素の末端部が脱離する。しばらくの間、シリコン表面は保護されないまま残される。その上、最初の裸のシリコン基板の熱的酸化は、酸化ケイ素の既に成長した部分を通ってオキシダントが拡散するその後の段階に比べると急速に進行し、かつよく理解されない機構によっている。したがって、高k材料下側の界面改善に適した酸化膜厚を得ようと試みても、酸化が容易に制御されず、所望の厚さを容易に超える可能性がある。さらに、界面層として超薄酸化物層が有効に形成されたとしても、その後の、高k材料が堆積される典型的な成長条件下で、酸化物層がさらに成長する。厚過ぎる酸化ケイ素界面により、より低い全体的な誘電率がもたらされる。
Hu他、「Thin Gate Oxides Promise High Reliability」、SEMICONDUCTOR INTERNATIONAL(1998年7月)、215〜222頁 T.Suntra、Handbook of Crystal Growth 3、Thin Films and Epitaxy、Part B:Growth Mechanisms and Dynamics、Chapter 14、Atomic Layer Epitaxy、601〜663頁、Elsevier Science B.V.1994 Ritala他、Science 288:319〜321(2000) Yang他、Humantech Thesis Prize、Samsung Electronics(1999) Kim他、「Ultra Thin(<3nm)High Quality Nitride/Oxide Stack Gate Dielectrics Fabricated by In−Situ Rapid Thermal Processing」、IEDM 97(1997)、463〜466頁 米国特許第6144060号 米国特許出願第09/471761号、1999年12月23日出願 同時係属米国特許出願第09/791167号、2001年2月22日出願 米国特許出願第09/687355号、2000年10月13日出願
基板と高k材料との間に超薄SiO2界面層を有することは有益であるが、一方SiO2界面の厚さが、ゲート酸化物層の最小厚さを決定する。したがって、超薄SiO2界面層を形成し、かつ維持することへの必要性が存在する。このような方法が、シングルウェハ加工システムおよび1/4ミクロン未満技術に適合可能であること、その上従来の技術に比較してより高い歩留りおよび処理量を示すことが望ましい。
一態様において、本発明は、基板上に界面誘電体酸化物層を形成するステップと、界面誘電体を覆って高k材料を堆積するステップとによって、半導体基板上にゲート誘電体を形成する方法に関する。高k材料は、界面誘電体層の厚さが実質的に増加しないような条件下で堆積するのが好ましい。
他の態様において、本発明は、シリコン基板上に誘電体層を形成する方法に関する。本方法は、基板上に厚さ約15Å未満の酸化ケイ素界面層を成長させるステップと、界面層の上面に高k材料を堆積するステップとを含むのが好ましい。堆積するステップは、基板を約300℃未満に維持するステップと、酸化剤として水蒸気を供給するステップとを含むのが好ましい。高k材料を堆積するステップは、約15Å未満だけ、より好ましくは約10Å未満だけ、また一層より好ましくは約5Å未満だけ、界面層を成長させるのが好ましい。
一実施形態において、堆積するステップはALD方法を含む。次に、ALD方法は複数のサイクルを含むことができ、各サイクルについて、基板を第1の反応物と接触させるステップと、未反応の第1の反応物および可能性のある副生物を反応チャンバから除去するステップと、基板を水蒸気と接触させるステップと、未反応の水蒸気および可能性のある副生物を反応チャンバから除去するステップとを含むのが好ましい。
本発明の好ましい実施形態について以下に図面を参照して説明する。
上記で考察したように、ゲート誘電体におけるSiO2を、高誘電率すなわち高誘電定数(本明細書において以後「高k」)の材料で置き換えることが望ましいが、基板と高k材料との間に超薄酸化膜界面層を有するのが有益である。したがって、先行して形成された超薄酸化物層の厚さを増加させることなく、高k材料を堆積することができるのが有益であろう。したがって、本発明は、基板と高k材料との間に超薄酸化膜界面層を形成する方法であって、高k誘電体材料を堆積する間、界面層の厚さを持続している方法を開示するものである。
好ましい実施形態において、高k材料として金属酸化膜を使用することによって、高k材料を堆積する間、酸化ケイ素(SiO2)界面層が成長を妨げられる。堆積過程に使用する酸素供給源の活動を通して酸化を行うことができるのみである。酸素供給源の活動を制御することにより、SiO2層のさらなる成長を制御する。ALDによって、高k材料が堆積できることを企図している。一実施形態において、300℃未満に温度を維持し、かつ配位子交換剤として水蒸気を使用し、それにより、高k堆積の間、酸素供給源による酸化を防止することが好ましい。他の実施形態において、金属有機化合物などの金属化合物をALD反応の酸素源材料として使用し、界面層のさらなる成長を防止する。代替的な実施形態において、MOCVDによる、SiO2よりも熱力学的に安定な金属酸化膜の堆積を企図し、それにより酸素供給源の使用を全く排除している。
図1は、本発明に従う一般的な方法のシーケンスを示している。基板を最初に清浄にして10、自然酸化物および汚染物質を除去する。次いで表面は場合によって改質されて20、その後の、超薄界面誘電体の形成を促進する30。超薄界面誘電体の形成30に続いて、表面は場合によって改質を受けて40、その後の高k誘電体層の堆積を促進する50。高k材料の堆積前に、酸化膜表面を改質する必要が全くない好ましい方法を、下記の実施形態において記述している。
シリコン基板の状況に関して例示しているが、当分野の技術者は、いくつかの他の状況において膜の成長を制御する、本明細書において開示している原理の用途を容易に見出すであろう。用語「基板」が、層を堆積させようとする、どんな表面をも指すことが理解されるであろう。例えば、薄い酸化物層および高k層は、金属表面上に形成することができる。好ましい基板は、エピタキシャルシリコン層、またはモノリシックシリコンウェハの上面などの半導体構造である。この状況では、半導体基板が、集積回路においてデバイスを形成する最も下のレベルの半導体材料であることが当分野の技術者には理解されるであろう。
例示しているように、基板を清浄にして10、半導体構造上の汚染物質、および自然に生成した、または、自然酸化物を除去する。基板の清浄化は、当技術分野で知られているどんな方法によっても達成できる。従来は、ゲート酸化物を成長させる前のウェハの清浄化は、工程室中にウェハを装着する前に、室外で行っている。例えば、HCl/HF湿式エッチング浴内でウェハを清浄にできる。別法として、現場で清浄化を実施することができる。例えば、一体化したHFおよび酢酸蒸気による清浄化を、クラスタツール内のモジュールで行い、搬送時間および再汚染または再酸化の機会を低減する。他の可能性では、反応チャンバ内で水素ベーキングステップを行って、自然酸化物を浄化させることができる。水素ベーキングの間、このステップに少量のHCl蒸気を添加して、金属汚染物質などの清浄化を助けることができる。さらに他の配置では、水素ガスの代わりにHラジカルを用いることによるなど、プラズマ生成物により、現場での清浄化を助け、または実施することができる。活性化された、または励起された化学種を使用することにより、十分な清浄化のための工程温度の可能性を広げることができるのが有利である。
好ましい実施形態において、基板は、APM(水酸化アンモニウム/過酸化物混合物)による清浄化を受ける。これにHF浴が続き、APMによる清浄化がもたらした酸化物を除去し、基板表面に主としてH末端部を残す。
清浄化10、および場合によって表面処理20に続いて、基板上に界面誘電体層が形成される30。界面誘電体層は、1つまたは2つの単層を含み超薄であるのが好ましい。界面誘電体は、約15Å未満、より好ましくは約10Å未満、また一層より好ましくは約5Å未満の厚さを有するのが好ましい。超薄誘電体酸化膜界面層の形成は30、当技術分野で知られているどんな方法によっても実施できる。したがって層を、酸化によるなどして基板から「成長」させることができ、または、ALDまたはCVDなどの方法により基板上に「堆積」させることができる。界面誘電体は、基板から成長させるのが好ましい。
界面酸化膜の形成30を酸化によって行う場合、参照によりその開示が本明細書に組み込まれている、2001年2月22日出願の、同時係属の特許出願第09/791167号中に開示されているように、その後の基板の酸化、および得られた界面誘電体酸化物層の成長を穏やかにする形で、基板表面を場合によって処理できる20。清浄化後、シリコン表面のダングリング結合が、周囲雰囲気中に存在する基またはラジカルと結合する強い傾向を有する。HF処理後、ダングリングシリコン結合が、ほとんど完全に原子状水素またはフッ素による末端部になる。水素は、存在する最小の原子であり、フッ素は最小のハロゲン原子である。水素およびフッ素は、シリコン表面へのオキシダントの拡散について、何ら顕著な障壁とはならない。しかし、水素またはフッ素の末端部が、より大きい基による末端部で置き換わる可能性がある。すると、表面は部分的に閉鎖され、その表面へのオキシダントの拡散が、より緩慢に進行する。これにより詳細には、典型的に比較的急速に進行する成長の初期段階の間、酸化速度が実質的に抑制される。
配位子の大きさを選択することにより、拡散バリヤーの透過性を調整することができ、酸化速度を制御することができ、また最終的な酸化膜の厚さを調節することができる。その上、酸化膜厚が増加することが、全体としての拡散バリヤーに寄与し、酸化膜厚において、酸化過程が自己制御される傾向を有する。
一実施形態において、シリコン表面を水蒸気に曝露することにより、ダングリングシリコン結合の水素末端部が、OH末端部によって置換される結果になる。OH基は水素原子よりも実質的に大きく、したがって酸化速度を強く緩和する効果を既に有している。配位子による表面末端部がもたらす拡散バリヤーのほかに、結合力、極性などの他の効果が酸化状態に影響するであろう。したがって、ダングリングシリコン結合を配位子が単に占有することにより、酸化速度に影響することができ、また影響するであろう。したがって一実施形態に従って、配位子はH2Oに曝露されることにより生成したOH基である。
配位子がOH基よりも大きく、かつより酸化緩和性であることがより好ましい。例えば、他の実施形態において、配位子は、HFにより清浄にされた表面がアルコキシドまたは、メタノール、エタノール、およびプロパノールなどのアルコールに曝露されて、生成するアルコキシ末端部を含む。さらに他の実施形態において、配位子は、ギ酸および酢酸などのカルボン酸に曝露されて生成するカルボキシル末端部を含む。これらのより好ましい実施形態において、配位子は、表面の自然酸化物を清浄にした後(好ましくはHF処理により)、かつ表面を酸化する前に、供給する。場合によっては、酸化ステップ中にも配位子を供給する。
一実施形態において、場合によって酸化を穏やかにする上述の配位子を有し、またはそれらの配位子なしで、本界面誘電体は、清浄にした基板の熱的酸化におけるなど、清浄にした基板と反応性の化学種に曝露することにより成長させた酸化ケイ素である。オキシダント源は、任意の数の知られているオキシダント、詳細には、O2、H2O、HCOOH、HClO4などの揮発性のオキシダントを含むことができる。しかし、酸素よりも反応性であるオキシダントをもたらすことにより、かつ/または、約50℃と400℃の間の、より好ましくは約300℃と400℃の間の温度まで酸化温度を上昇させることにより、酸化速度を促進するのが好ましい。酸化の間、工程温度は約400℃未満に維持するのが望ましい。一例として、SiO2の厚さ5Åの層を、400℃で100秒間O3ガスに曝露することによって、清浄にした基板上に成長させることができる。
一実施形態において、オキシダントは、酸素または水蒸気単独よりも強い酸化効果を有する。このような酸化剤による酸化は、より低い温度、好ましくは約300℃未満、より好ましくは約200℃未満で実施することができる。本実施形態に従う、例示的な強い酸化剤は、オゾン含有ガスである。代替的実施形態において、このオゾン含有ガスを水蒸気、酸素、または貴ガスなどの不活性ガス、またはN2と混合している。他の例示的な強い酸化剤は、過酸化物含有ガスを含む。酸素含有供給源ガスを、遠隔プラズマ発生器に提供して、酸化のため励起された化学種をもたらすことができる。
代替的実施形態において、オキシダントは水蒸気である。室温では水蒸気中の酸化速度は非常に低いが、約300℃まで温度を上げることができる。その上、この場合シリコン表面上に酸化速度を増す配位子を提供することができ、または、水蒸気を、O2などの酸化速度を増す他のガス、またはHClなどのハロゲン含有ガスと混合することができる。所望の場合、オゾンまたは過酸化物などのより強い酸化剤も、酸化の間提供でき、かつ/または最高約400℃までの高温を使用できる。
界面誘電体の成長はまた、例えば、オキシ窒化膜界面誘電体を形成する、窒素の使用(例えば、NOもしくはN2Oでの酸化による、またはNH3での窒化膜化による)を含む。一実施形態において、洗浄後、15標準リットル/分(slm)のN2流を維持しながら、純粋なNOオキシダントガスとみなして、NOガス約1.5slmを導入することにより界面誘電体を成長させている。代替的なオキシダントガス(例えば、O2、H2O、N2O、HCOOH、HClO4、CH3NO2などのニトロアルカン、(CH32CHONO2などの硝酸アルキル、オキシダント混合物または希釈物)を使用するには流量を調節する必要があり得ることを、当分野の技術者は理解するであろう。温度および圧力をそれぞれ、780℃および50トルに維持し、またN2流を同様に維持する。約20秒で、厚さ約0.5nmまで基板から酸窒化ケイ素の層が成長する。窒素および/または酸素ラジカルも、酸化膜、窒化膜、オキシ窒化膜の成長のために使用することができる。好ましい実施形態において、酸化ケイ素の最外側層を窒化膜化して、さらなる酸化膜の成長に抵抗する窒化物層を形成させる。したがって、界面誘電体層は、SiOx、SiNy、またはSiOxyを含むのが好ましい。
酸化が終った後、表面へのオキシダントの供給(および配位子、酸化の間供給されている場合)を止め、不活性ガスで基板をパージする。界面誘電体層は、基板から成長しているので、半導体基板と適合可能であり、界面電荷捕獲部位を防止する役割を果たすことができる。
界面誘電体層の生成に続いて、場合によって行う表面処理20を使用した場合に提供される表面末端部を除去してもよい。一実施形態において、有機配位子は−OH末端部で置き換えられる。
別法として、当技術分野において知られている任意の他の方法で界面酸化物層が形成できる。例えば、基板の酸化よりもむしろ制御された堆積により界面酸化物層が形成できる。一実施形態において、SiO2の界面誘電体層を原子層堆積(ALD)により堆積させている。他の実施形態において、SiO2の界面誘電体層を基板の化学的酸化により成長させている。
制御された厚さ、および場合によって−OH末端部を有する、SiO2層などの界面誘電体層の生成に続いて、第2の薄い誘電体層を、その下側にある界面誘電体層のさらなる成長を抑制する形で堆積する。第2の誘電体層を堆積する間、その下側にある界面誘電体層は平均して10Å未満だけ成長するのが好ましく、第2の誘電体層を堆積する間、平均して5Å未満だけ成長するのがより好ましく、また少しも成長させないのが一層より好ましい。界面誘電体層上に堆積する層は、高k誘電体材料であるのが望ましい。高k材料は、酸化ケイ素よりも高い誘電率を有し、5を超える誘電率を有するのが好ましく、また10を超える誘電率を有するのがより好ましい。界面誘電体層上に堆積する層は、界面誘電体層を実質的に成長させることなく堆積することができるどんな高k材料ともすることができる。好ましい高k材料は金属酸化物である。本発明において使用することができる高k材料のいくつかの例は、ZrO2、HfO2、Al23、Ta25、TiO2、BST、ST、SBT、Nb25、およびLa23、ならびにSc、Y、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、またはLuの酸化物、金属酸化物の固溶体、ならびに金属酸化物のナノ積層体である。
このような高k用途については、単層スケールで下側界面層の厚さを制御して、数層の単層からなる目標厚さを達成するのが特に有利である。全体の厚さが達成可能なキャパシタンスを制限し、また厚さのばらつきが、複合誘電体の全体的均一性に重要な影響をおよぼすからである。不均一性の結果として、リークを避けるため最小厚さを使用しなければならないが、不均一な酸化膜の他の箇所では厚過ぎるというようなことになるので、必要な等価厚さよりも厚い酸化膜厚になる。さらに、過度のゲート誘電体におけるばらつきにより、最終的に生産歩留りを低下させる可能性がある。
したがって、高k誘電体層を形成するため、界面酸化物層をさらに成長させないどんな堆積方法も使用できる。下側層のさらなる酸化が起こらないような条件が維持される限り、例えば、ALD、CVD、PVD、MOCVD、MBE、または、高k材料を堆積するどんな他の知られている方法も使用できる。これらの方法は400℃未満、より好ましくは約300℃未満、また一層より好ましくは約200℃未満で実施するのが好ましい。第2層は、より高い誘電率であるため、ゲート誘電体のホットキャリヤ効果に対する障壁特性および抵抗性に寄与し、同時にゲート誘電体の全体的縮小を可能にするものとして寄与できる。
使用される供給源の薬品によって、高k材料を堆積する前に、さらなる表面処理を場合によって行うことができる。例えば、励起された化学種による処理は、界面層の表面末端部を改質し、その後の堆積を助長する役割を果たしている。
好ましい実施形態において、ALD型堆積方法により高k材料の堆積が達成され、その方法では反応物の交互のパルスが表面を飽和させ、各サイクルはわずか約1つの誘電体材料の単層を残すに過ぎない。簡単に言うと、基板は交互に繰り返される供給源の薬品による表面反応を受ける。各サイクルにより、自己制御的な形で基板上に層が堆積し、反応し、または吸着される。各サイクルは、少なくとも2つの区別される相を含み、各相が自己制御効果を有する飽和性の反応であるのが好ましい。したがって、好ましい条件下で、表面に結合できる反応物の量が、利用可能な部位の数、およびたまたま化学吸着される化学種(配位子を含む)の物理的大きさによって決まるような形で、反応物が選択される。1回のパルスにより残される層はそれ自体末端部となり、そのパルスの残留した薬品と非反応性の表面となる。自己制御的反応が完結した後、未反応の供給源薬品を反応空間から除去し、基板を次の反応物に曝露する。
基板表面上における最大のステップ被覆率は、各パルスにおいてわずか約1つの供給源薬品分子の単一分子層が化学吸着されるときに得られる。その後の各サイクルは、同様に自己制御的または自己末端的な形で、前回のパルスが残した表面と反応する。所望の厚さの誘電体層が成長するまで、パルスシーケンスが繰り返される。
酸素源として水蒸気を使用し、前回のパルスによる配位子末端部を置き換えるのが好ましい。300℃未満では水蒸気によって、薄い界面酸化物が実質的にさらに成長しないからである。高k材料の僅かな単層、および薄い界面酸化膜を通って、オキシダントが容易に拡散することができるので、高k材料堆積の初期段階の間は、酸化膜の再成長が特別な関心事である。下記の実施例では、アルミニウム源ガスまたはジルコニウム源ガスのパルスが、水蒸気パルスと交互に発生し、パージパルスがそれにはさまれる。好ましい実施形態において、第2の誘電体層は、トリメチルアルミニウム(TMA)と水とから堆積されたAl23を含む。
高k誘電体が堆積される温度を十分に低く維持して、界面誘電体層の厚さを増加させないことが好ましい。したがって、高k材料の堆積は、約400℃未満の温度で実施するのが好ましい。堆積は、約70℃と300℃の間、また最も好ましくは約200℃と300℃の間の温度で実施するのがより好ましい。一実施形態において、界面誘電体の成長の間に熱的酸化が行われる温度から、高k誘電体の堆積の間に、約300℃未満まで温度を低下させている。これらの方法を行っている間の圧力は、約1トルと80トルの間、より好ましくは約10トルに維持するのが好ましい。
一実施形態において、界面酸化膜成長の、前または後のいずれかにおいて、ALD方法向けに設計されているPulsar(商標)2000反応器(ASM America、米国、から市販されている)の反応空間にシリコンウェハを導入する。機械的真空ポンプで、反応空間を真空排気する。排気後、純度99.9999%を有する窒素ガスを流しながら、反応空間の圧力を約5〜10ミリバール(絶対値)に調節する。次いで、300℃未満の温度で反応空間を安定にする。(CH33Al(トリメチルアルミニウム;TMA)およびH2Oの交互の蒸気相パルスを反応空間に導入し、基板表面と接触させる。窒素ガスを流すことにより、供給源薬品パルスを、互いに分離している。
各パルスサイクルは、4つの基本ステップからなる:
・(CH33Alパルス
・N2パージ
・H2Oパルス
・N2パージ
例示的な酸化アルミニウム堆積サイクルを表Iに要約している。
Figure 2005509287
サイクルの数によって、層の厚さが決まる。(CH33AlおよびH2OからのAl23の成長速度は、300℃において典型的に0.1nm/サイクルもしくは1Å/サイクルに近く、または約3〜4サイクル/単層(Al23はバルク格子パラメータ約3Åを有する)である。各TMAパルスにより残されるメチル末端部が、このような各パルスによる吸着を自己終結させ、また、各パルスにより完全な単層に満たない層が形成されるような形で、利用可能な化学吸着部位の数も減少させる。所望の層厚を形成するのに十分な回数だけパルスサイクルを繰り返す。酸化アルミニウムは、ゲート誘電体における唯一の高k材料としての、または1つもしくは複数の追加的誘電体層を形成する前の、薄い障壁層としての役割を果たすことができる。
他の配置において、ALD型方法によりZrO2を堆積する。ZrCl4蒸気を反応チャンバに導入し、ウェハ表面に1.5秒間曝露させる。下記の表2において、これをパルスAと呼ぶ。窒素ガスで3.0秒間反応チャンバをパージして、過剰のZrCl4および副生物を反応チャンバから除去する。これをパージAと呼ぶ。次いで、水蒸気を反応チャンバに導入し、ウェハ表面に3.0秒間曝露させる。これをパルスBと呼ぶ。4.0秒間反応チャンバをパージすることにより、残留H2Oおよび反応副生物を除去する。これをパージBと呼ぶ。それぞれの反応相の間、所定の他のパラメータにおいて、表面を飽和させるのに十分な量で反応物を供給する。
例示的な高k堆積サイクルを表IIに要約している。
Figure 2005509287
平均堆積速度は、300℃において約0.59Å/サイクルである。パルスA、パージA、パルスB、パージBからなる表IIのサイクルを、所望の厚さの層を形成するのに必要な回数だけ繰り返すことができる。
より一般的には、方法を行う間の温度は約200℃と300℃の間にあるのが好ましい。非晶質ZrO2層については、温度は約200℃と250℃の間がより好ましく、また約225℃であるのが最も好ましい。結晶性膜については、温度は、この範囲の高い端、約250℃と300℃の間がより好ましく、また約300℃が最も好ましい。しかし、当分野の技術者には理解されるであろうが、これらの2つの型の境界では、非晶質および結晶性組成物の混合物が得られる。例示した方法では、大部分結晶性のZrO2膜を形成している。
上記の実施例において、各ZrCl4パルスにより生成される金属単層は、塩化物を自己末端部とし、好ましい条件下で、過剰のZrCl4と容易に反応しない。しかし、好ましい酸素源ガスは、塩素を末端とする表面と反応し、または表面上に吸着され、その間酸素相は、前回吸着された塩化ジルコニウム錯体が供給されることにより配位子交換反応において制限される。さらに、酸化によって、ヒドロキシルおよび酸素架橋の末端部が残り、この末端部は、飽和相中の過剰のオキシダントとさらに反応することはない。
約20Åと60Åの間のZrO2が成長するのに十分なサイクルを行うのが好ましい。約20Åと40Åの間のZrO2が成長するのに十分なサイクルを行うのがより好ましく、また約30Åが最も好ましい。層の誘電率は、約18と24の間にある。
高k誘電体の堆積に続いて、堆積された誘電体層を場合によってアニールして、この層の品質を向上させる。例えば、堆積された誘電体層を、高温酸素によりアニールして、層を結晶化させ、かつ、さもなければ本質的に金属リーク通路のまま残る恐れがある何らかの酸素空位を充填することができる。アニールステップは、シーケンス反応物中における、爆発性反応、もしくは、さもなければ望ましくない反応の危険を最小にするように設計された反応器内で、現場で実施できる。アニールは、界面酸化物層のさらなる成長を防止する条件下で実施するのが好ましい。
高k層を堆積するALD方法であって、2つの金属化合物を使用し、その一方が、他方の金属化合物とよく反応する酸素源材料であるが、基板は酸化しないALD方法を使用できることをも企図している。このような方法は、参照によりその開示が本明細書に組み込まれている、2000年10月13日出願の米国特許出願第09/687355号中に記載されている。この方法では、基板表面が、金属源材料および酸素源材料と交互に反応する。しかし、酸素源材料は、金属アルコキシド、すなわち少なくとも1つの有機配位子を有し、酸素が少なくとも1つのホウ素、ケイ素、または金属原子に結合している金属化合物であるのが好ましい。金属アルコキシドは、金属ハロゲン化物、または金属アルキルなどの第2の金属化合物と反応するとき、酸素および金属源としての両方の役割を果たす。この方法では、下側にある基板をさらに酸化することなく、したがって界面酸化物層をさらに成長させることなく高k金属酸化膜を堆積することができる。
酸素の不在において金属前駆体の直接堆積によって高k材料を堆積することができることをも企図している。これらの金属前駆体は金属および酸素の両方を含み、したがって酸素を添加することなく、金属酸化膜を形成することができるのが好ましい。この場合、酸化剤が存在しないことが、界面酸化物層のさらなる成長を防止するのであろう。好ましい金属前駆体は、有機金属化合物である。しかし、それらの化合物は、揮発性の無水金属硝酸塩とすることもできる。
さらに、有機金属化学蒸着(MOCVD)によって高k材料を堆積することができる。このようなMOCVD法では、その方法を実施する温度では界面酸化物層を実質的にさらに成長させないオキシダントを使用する。
誘電体堆積に続いて、誘電体スタック上にトランジスタゲート電極を形成できる。電極形成も現場で実施するのが有利である。したがって、現場で誘電体スタックを形成することができるだけでなく、好ましい実施形態において反応器および本方法により、トランジスタゲートスタックの形成における全てのステップの処理を現場で行うことが可能である。したがって、界面誘電体の成長、および第2の高k誘電体の堆積を、現場で実施できる。しかし、場合によって、ウェハ清浄化、誘電体層堆積、アニール、および電極形成のいずれか、または全てを現場で実施することができる、すなわち、ステップ間において大気に曝露することなく、同一のプロセスチャンバまたはクラスタツール内で実施することができる。
前述の本発明はある好ましい実施形態に関して記述してきているが、当分野の通常の技術者には他の実施形態が明らかであろう。例えば、集積回路におけるゲート誘電体形成方法を具体的に提供しているが、当分野の技術者は、コンデンサ用シリコン下部電極上における高k誘電体の形成などの他の状況に、同一の原理を適用することができると認めるであろう。さらに、当分野の技術者には、本明細書における開示の観点での、他の組合せ、省略、置換え、および修正が明らかであろう。したがって、本発明は好ましい実施形態の詳細説明により限定されることを意図するものではなく、その代わり、添付している特許請求範囲を参照することにより定義しようとするものである。
ゲート誘電体において、基板と高k誘電体材料との間に超薄界面誘電体材料を堆積する工程シーケンスを示す図である。

Claims (43)

  1. 半導体基板上にゲート誘電体を形成する方法であって、
    前記基板上に界面誘電体酸化物層を形成するステップと、
    高k層を堆積する間、前記界面誘電体層の厚さが実質的に増加しないような条件下で、前記界面誘電体層を覆って前記高k材料を堆積するステップと
    を含む方法。
  2. 前記高k層を堆積する温度が300℃以下である、請求項1に記載の方法。
  3. 堆積するステップが、酸素源として前記基板にH2Oを提供するステップを含む、請求項2に記載の方法。
  4. 前記界面層の前記厚さが約15Å未満である、請求項1に記載の方法。
  5. 前記界面層の前記厚さが約10Å未満である、請求項4に記載の方法。
  6. 前記界面層の前記厚さが約5Å未満である、請求項5に記載の方法。
  7. 前記界面誘電体酸化物層がSiO2である、請求項1に記載の方法。
  8. 前記界面誘電体層が、前記基板の熱的酸化によって生成される、請求項7に記載の方法。
  9. 熱的酸化が、酸化の穏やかな表面末端部を経由した酸化を含む、請求項8に記載の方法。
  10. 堆積するステップが、原子層堆積(ALD)方法を含む、請求項1に記載の方法。
  11. 前記ALD方法が複数のサイクルを含み、各サイクルが、
    反応チャンバにおいて基板を第1の反応物と接触させるステップと、
    前記未反応の第1の反応物を前記反応チャンバから除去するステップと、
    前記基板を第2の反応物と接触させるステップと、
    前記未反応の第2の反応物を前記反応チャンバから除去するステップと
    を含む、請求項10に記載の方法。
  12. 前記第2の反応物が水蒸気である、請求項11に記載の方法。
  13. 前記ALD方法が300℃未満で実行される、請求項12に記載の方法。
  14. 前記高k層がAl23を含む、請求項13に記載の方法。
  15. 前記第1の反応物がトリメチルアルミニウム(TMA)であり、前記第2の反応物がH2Oである、請求項14に記載の方法。
  16. 前記高k層がZrO2を含む、請求項13に記載の方法。
  17. 前記第1の反応物がZrCl4であり、前記第2の反応物がH2Oである、請求項16に記載の方法。
  18. 基板の表面上に複合誘電体層を形成する方法であって、厚さ約15Å未満の酸化物層を形成するステップと、前記酸化物層をさらに成長させることなく、前記酸化物層の上面上に高k材料を堆積するステップとを含む方法。
  19. 前記酸化物層が厚さ約10Å未満である、請求項18に記載の方法。
  20. 前記酸化物層が厚さ約5Å未満である、請求項19に記載の方法。
  21. 前記酸化物層を形成するステップの前に、前記基板を清浄にするステップをさらに含む、請求項18に記載の方法。
  22. 前記基板がシリコンである、請求項18に記載の方法。
  23. 前記酸化物層が、前記シリコン基板の熱的酸化によって形成される、請求項22に記載の方法。
  24. 前記基板が、順次かつ交互に第1の金属含有化合物および第2の酸化性化合物に曝露される、順次表面反応を含むALD型方法によって、前記高k材料が堆積される、請求項18に記載の方法。
  25. 前記第2の酸化性化合物が金属有機化合物である、請求項24に記載の方法。
  26. 前記第1の金属含有化合物が金属ハロゲン化物であり、前記第2の酸化性化合物が金属アルコキシドである、請求項24に記載の方法。
  27. 前記第1の金属含有化合物および前記第2の酸化性化合物が、共に金属アルコキシドである、請求項24に記載の方法。
  28. 前記金属含有化合物が、ZrO2、HfO2、Ta25、TiO2、BST、ST、SBT、Al23、Nb25、およびLa23からなる群から選択される、請求項24に記載の方法。
  29. 前記酸化性化合物が水蒸気である、請求項24に記載の方法。
  30. 前記温度が300℃未満である、請求項24に記載の方法。
  31. 前記高k材料が、金属源化合物の直接分解により堆積される、請求項18に記載の方法。
  32. 前記高k材料が、CVDおよびMOCVDからなる群から選択される方法により堆積される、請求項18に記載の方法。
  33. 前記温度が300℃未満である、請求項32に記載の方法。
  34. 酸化剤として水蒸気が使用される、請求項32に記載の方法。
  35. 前記酸化物層がSiO2を含む、請求項18に記載の方法。
  36. 前記酸化物層が追加的に窒素を含む、請求項35に記載の方法。
  37. 前記高k材料を堆積するステップの前に、前記酸化物層上の任意の表面末端部を改質するステップを追加的に含む、請求項18に記載の方法。
  38. シリコン基板上に誘電体層を形成する方法であって、
    前記基板上に、厚さが約15Å未満の酸化ケイ素界面層を成長させるステップと、
    前記界面層の上面に、高k材料を堆積するステップと
    を含み、
    堆積するステップが、約300℃未満の温度に前記基板を維持するステップ、および酸化剤として水蒸気を供給するステップを含む方法。
  39. 堆積するステップが、ALD方法を含む、請求項38に記載の方法。
  40. 前記ALD方法が複数のサイクルを含み、各サイクルが、
    前記基板を第1の反応物と接触させるステップと、
    前記未反応の第1の反応物を反応チャンバから除去するステップと、
    前記基板を水蒸気と接触させるステップと、
    前記未反応の水蒸気を前記反応チャンバから除去するステップと
    を含む、請求項39に記載の方法。
  41. 前記高k材料の堆積の間、前記界面層が約15Å未満だけ成長する、請求項38に記載の方法。
  42. 前記界面層が約10Å未満だけ成長する、請求項41に記載の方法。
  43. 前記界面層が約5Å未満だけ成長する、請求項42に記載の方法。
JP2003543069A 2001-08-31 2002-08-26 低温度におけるゲートスタック製造方法 Expired - Lifetime JP4746269B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US31656201P 2001-08-31 2001-08-31
US60/316,562 2001-08-31
US10/227,475 2002-08-22
US10/227,475 US6806145B2 (en) 2001-08-31 2002-08-22 Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
PCT/US2002/027230 WO2003041124A2 (en) 2001-08-31 2002-08-26 Method of fabricating a gate stack at low temperature

Publications (3)

Publication Number Publication Date
JP2005509287A true JP2005509287A (ja) 2005-04-07
JP2005509287A5 JP2005509287A5 (ja) 2006-01-05
JP4746269B2 JP4746269B2 (ja) 2011-08-10

Family

ID=26921473

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003543069A Expired - Lifetime JP4746269B2 (ja) 2001-08-31 2002-08-26 低温度におけるゲートスタック製造方法

Country Status (4)

Country Link
US (1) US6806145B2 (ja)
JP (1) JP4746269B2 (ja)
AU (1) AU2002363358A1 (ja)
WO (1) WO2003041124A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007194239A (ja) * 2006-01-17 2007-08-02 Fujitsu Ltd 半導体装置の製造方法
JP2008103442A (ja) * 2006-10-18 2008-05-01 Seiko Epson Corp 半導体装置の製造方法
JP2009016530A (ja) * 2007-07-04 2009-01-22 Mitsubishi Electric Corp 炭化珪素電界効果型トランジスタ及びその製造方法
JP2013504866A (ja) * 2009-09-14 2013-02-07 ベネク・オサケユキテュア 多層コーティング、多層コーティングの製造方法及び多層コーティングの使用
KR20190075414A (ko) * 2017-12-21 2019-07-01 인천대학교 산학협력단 컬러 전자섬유 및 이의 제조방법

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
CA2358528C (en) 1998-12-23 2015-04-14 The Chase Manhattan Bank System and method for integrating trading operations including the generation, processing and tracking of trade documents
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20030003665A1 (en) * 2001-06-27 2003-01-02 Nakagawa Osamu Samuel Process for high-dielectric constant metal-insulator metal capacitor in VLSI multi-level metallization systems
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
EP1324393B1 (en) * 2001-12-28 2008-04-09 STMicroelectronics S.r.l. Manufacturing process of a semiconductor non-volatile memory cell and corresponding memory-cell
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6784101B1 (en) * 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US7122415B2 (en) * 2002-09-12 2006-10-17 Promos Technologies, Inc. Atomic layer deposition of interpoly oxides in a non-volatile memory device
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6723581B1 (en) * 2002-10-21 2004-04-20 Agere Systems Inc. Semiconductor device having a high-K gate dielectric and method of manufacture thereof
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
KR100522427B1 (ko) * 2002-12-30 2005-10-20 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6852645B2 (en) * 2003-02-13 2005-02-08 Texas Instruments Incorporated High temperature interface layer growth for high-k gate dielectric
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP4005602B2 (ja) * 2003-03-17 2007-11-07 富士通株式会社 半導体装置の製造方法
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) * 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
EP1652224A2 (en) * 2003-07-31 2006-05-03 FSI International, Inc. Controlled growth of highly uniform, oxide layers, especially ultrathin layers
US20050072625A1 (en) * 2003-09-11 2005-04-07 Christenson Kurt K. Acoustic diffusers for acoustic field uniformity
TW200517192A (en) * 2003-09-11 2005-06-01 Fsi Int Inc Semiconductor wafer immersion systems and treatments using modulated acoustic energy
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
TWI228789B (en) * 2004-01-20 2005-03-01 Ind Tech Res Inst Method for producing dielectric layer of high-k gate in MOST
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7256450B2 (en) * 2004-03-24 2007-08-14 Micron Technology, Inc. NROM memory device with a high-permittivity gate dielectric formed by the low temperature oxidation of metals
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
JP2006005124A (ja) * 2004-06-17 2006-01-05 Rohm Co Ltd 半導体装置の製造方法
US7323423B2 (en) * 2004-06-30 2008-01-29 Intel Corporation Forming high-k dielectric layers on smooth substrates
US7135370B2 (en) * 2004-07-01 2006-11-14 Freescale Semiconductor, Inc. Dielectric storage memory cell having high permittivity top dielectric and method therefor
KR100539213B1 (ko) * 2004-07-10 2005-12-27 삼성전자주식회사 복합 유전막 형성 방법 및 이를 이용하는 반도체 장치의제조 방법
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
JP2006108439A (ja) * 2004-10-06 2006-04-20 Samsung Electronics Co Ltd 半導体装置
TWI237867B (en) * 2004-10-29 2005-08-11 Taiwan Semiconductor Mfg Method of improving to deposit dielectric
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
KR20060072498A (ko) * 2004-12-23 2006-06-28 동부일렉트로닉스 주식회사 반도체 소자와 그의 제조방법
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
WO2006090645A1 (ja) * 2005-02-24 2006-08-31 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP4914573B2 (ja) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
GB0506896D0 (en) * 2005-04-05 2005-05-11 Plastic Logic Ltd Stack ablation
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7722929B2 (en) * 2005-08-18 2010-05-25 Corning Incorporated Sealing technique for decreasing the time it takes to hermetically seal a device and the resulting hermetically sealed device
US7829147B2 (en) * 2005-08-18 2010-11-09 Corning Incorporated Hermetically sealing a device without a heat treating step and the resulting hermetically sealed device
US20070040501A1 (en) 2005-08-18 2007-02-22 Aitken Bruce G Method for inhibiting oxygen and moisture degradation of a device and the resulting device
US20080206589A1 (en) * 2007-02-28 2008-08-28 Bruce Gardiner Aitken Low tempertature sintering using Sn2+ containing inorganic materials to hermetically seal a device
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
CN100461343C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用预处理的材料原子层沉积的方法
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7972974B2 (en) * 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP2047502A4 (en) * 2006-06-30 2009-12-30 Applied Materials Inc NANO CRYSTAL EDUCATION
DE112007001814T5 (de) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US20080048178A1 (en) * 2006-08-24 2008-02-28 Bruce Gardiner Aitken Tin phosphate barrier film, method, and apparatus
US7582549B2 (en) * 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
EP2058844A1 (en) * 2007-10-30 2009-05-13 Interuniversitair Microelektronica Centrum (IMEC) Method of forming a semiconductor device
US8622308B1 (en) 2007-12-31 2014-01-07 Jpmorgan Chase Bank, N.A. System and method for processing transactions using a multi-account transactions device
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US8716812B2 (en) 2008-06-25 2014-05-06 Nxp B.V. Interfacial layer regrowth control in high-K gate structure for field effect transistor
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
DE102008050941A1 (de) * 2008-10-10 2010-04-22 Behr Gmbh & Co. Kg CVD-Beschichtungsverfahren, Beschichtungsvorrichtung und Bauteil einer Fluidführung
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US8399344B2 (en) * 2009-10-07 2013-03-19 Asm International N.V. Method for adjusting the threshold voltage of a gate stack of a PMOS device
US8445974B2 (en) * 2010-01-07 2013-05-21 International Business Machines Corporation Asymmetric FET including sloped threshold voltage adjusting material layer and method of fabricating same
US8420208B2 (en) 2010-08-11 2013-04-16 Micron Technology, Inc. High-k dielectric material and methods of forming the high-k dielectric material
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
KR101895398B1 (ko) * 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9087716B2 (en) * 2013-07-15 2015-07-21 Globalfoundries Inc. Channel semiconductor alloy layer growth adjusted by impurity ion implantation
US9058626B1 (en) 2013-11-13 2015-06-16 Jpmorgan Chase Bank, N.A. System and method for financial services device usage
US9607829B2 (en) * 2014-02-11 2017-03-28 Tokyo Electron Limited Method of surface functionalization for high-K deposition
TW201700761A (zh) 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
KR102428659B1 (ko) 2015-08-24 2022-08-04 삼성전자주식회사 반도체 소자의 제조 방법
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US10388515B2 (en) 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
CN106847893B (zh) * 2015-12-07 2020-05-08 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US11417517B2 (en) 2019-05-03 2022-08-16 Applied Materials, Inc. Treatments to enhance material structures
US10872763B2 (en) 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
CN115004340A (zh) 2019-11-01 2022-09-02 应用材料公司 用于鳍式场效应晶体管形成的帽氧化

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001152339A (ja) * 1999-10-06 2001-06-05 Samsung Electronics Co Ltd 原子層蒸着法を用いた薄膜形成方法
JP2001200363A (ja) * 1999-12-03 2001-07-24 Asm Microchemistry Oy テクスチャ加工されたキャパシタ電極上のコンフォーマル薄膜
WO2001069665A1 (fr) * 2000-03-13 2001-09-20 Tadahiro Ohmi Procede de formation de pellicule dielectrique
WO2002023614A1 (fr) * 2000-09-18 2002-03-21 Tokyo Electron Limited Procede de formation d'un film d'isolant de grille, appareil pour la formation d'un film d'isolant de grille et outil combine
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2003069011A (ja) * 2001-08-27 2003-03-07 Hitachi Ltd 半導体装置とその製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
US5834353A (en) * 1997-10-20 1998-11-10 Texas Instruments-Acer Incorporated Method of making deep sub-micron meter MOSFET with a high permitivity gate dielectric
US6015739A (en) 1997-10-29 2000-01-18 Advanced Micro Devices Method of making gate dielectric for sub-half micron MOS transistors including a graded dielectric constant
US6133106A (en) 1998-02-23 2000-10-17 Sharp Laboratories Of America, Inc. Fabrication of a planar MOSFET with raised source/drain by chemical mechanical polishing and nitride replacement
TW419732B (en) * 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
US6251761B1 (en) * 1998-11-24 2001-06-26 Texas Instruments Incorporated Process for polycrystalline silicon gates and high-K dielectric compatibility
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6417041B1 (en) * 1999-03-26 2002-07-09 Advanced Micro Devices, Inc. Method for fabricating high permitivity dielectric stacks having low buffer oxide
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR20020001376A (ko) * 2000-06-28 2002-01-09 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6380104B1 (en) * 2000-08-10 2002-04-30 Taiwan Semiconductor Manufacturing Company Method for forming composite gate dielectric layer equivalent to silicon oxide gate dielectric layer
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001152339A (ja) * 1999-10-06 2001-06-05 Samsung Electronics Co Ltd 原子層蒸着法を用いた薄膜形成方法
JP2001200363A (ja) * 1999-12-03 2001-07-24 Asm Microchemistry Oy テクスチャ加工されたキャパシタ電極上のコンフォーマル薄膜
WO2001069665A1 (fr) * 2000-03-13 2001-09-20 Tadahiro Ohmi Procede de formation de pellicule dielectrique
WO2002023614A1 (fr) * 2000-09-18 2002-03-21 Tokyo Electron Limited Procede de formation d'un film d'isolant de grille, appareil pour la formation d'un film d'isolant de grille et outil combine
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2003069011A (ja) * 2001-08-27 2003-03-07 Hitachi Ltd 半導体装置とその製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007194239A (ja) * 2006-01-17 2007-08-02 Fujitsu Ltd 半導体装置の製造方法
JP2008103442A (ja) * 2006-10-18 2008-05-01 Seiko Epson Corp 半導体装置の製造方法
JP2009016530A (ja) * 2007-07-04 2009-01-22 Mitsubishi Electric Corp 炭化珪素電界効果型トランジスタ及びその製造方法
JP2013504866A (ja) * 2009-09-14 2013-02-07 ベネク・オサケユキテュア 多層コーティング、多層コーティングの製造方法及び多層コーティングの使用
KR20190075414A (ko) * 2017-12-21 2019-07-01 인천대학교 산학협력단 컬러 전자섬유 및 이의 제조방법
KR102172190B1 (ko) * 2017-12-21 2020-10-30 인천대학교 산학협력단 컬러 전자섬유 및 이의 제조방법

Also Published As

Publication number Publication date
AU2002363358A1 (en) 2003-05-19
US20030049942A1 (en) 2003-03-13
WO2003041124A3 (en) 2003-11-20
US6806145B2 (en) 2004-10-19
JP4746269B2 (ja) 2011-08-10
WO2003041124A2 (en) 2003-05-15

Similar Documents

Publication Publication Date Title
JP4746269B2 (ja) 低温度におけるゲートスタック製造方法
US7816278B2 (en) In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7972977B2 (en) ALD of metal silicate films
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
JP4700181B2 (ja) 原子層蒸着法を用いた薄膜形成方法
JP4823260B2 (ja) 原子層蒸着法を用いた薄膜形成方法
US6794314B2 (en) Method of forming ultrathin oxide layer
KR101442212B1 (ko) 금속 실리케이트 막들의 원자층 증착
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
JP4566559B2 (ja) 誘電層の形成方法
TWI355716B (en) Non-volatile memory device and method for fabricat
US20070037412A1 (en) In-situ atomic layer deposition
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
KR20120104552A (ko) 유전체 막들의 부동태화를 위한 공정들
US6984565B2 (en) Method of manufacturing a semiconductor device
JP2004056142A (ja) 原子層蒸着法を利用した物質形成方法及びこれを利用した半導体装置のキャパシタ形成方法
US7094712B2 (en) High performance MIS capacitor with HfO2 dielectric
KR100920402B1 (ko) 저온 게이트 스택
TWI841680B (zh) 於反應腔室中藉由循環沉積製程於基板上沉積鉿鑭氧化物膜之方法
EP1425785A2 (en) Method of fabricating a gate stack at low temperature
KR100780605B1 (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법
Ahn et al. Lanthanide doped TiO x films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050728

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070815

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071113

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080917

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081216

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090317

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091211

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100629

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100729

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110128

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110426

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110513

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140520

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4746269

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term