JP2004532519A - 電子構造の製造方法 - Google Patents

電子構造の製造方法 Download PDF

Info

Publication number
JP2004532519A
JP2004532519A JP2002576013A JP2002576013A JP2004532519A JP 2004532519 A JP2004532519 A JP 2004532519A JP 2002576013 A JP2002576013 A JP 2002576013A JP 2002576013 A JP2002576013 A JP 2002576013A JP 2004532519 A JP2004532519 A JP 2004532519A
Authority
JP
Japan
Prior art keywords
layer
damascene
copper
contact via
silicon dioxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002576013A
Other languages
English (en)
Inventor
アダム、シャロット
スタンパー、アンソニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2004532519A publication Critical patent/JP2004532519A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】上部レベルのダマシン銅配線と下部配線レベルのダマシン・タングステン・コンタクトとの間に、信頼性のある低抵抗コンタクトを形成する方法および構造を提供すること。
【解決手段】ダマシン・タングステン配線レベルに電気的にコンタクトするデュアル・ダマシン銅相互接続部を製造するための方法であって、半導体基板上に第1の層を形成すること、第1の層上に窒化ケイ素層を形成すること、窒化ケイ素層上に二酸化ケイ素層を形成することを含む方法。第1の層は、絶縁性材料によって電気的に分離されたダマシン・タングステン相互接続部領域を含む。二酸化ケイ素層と窒化ケイ素層とを通る2つのコンタクト・トラフをエッチングして、ダマシン・タングステン相互接続部領域を露出させること、2つのコンタクト・トラフ間の二酸化ケイ素層の最上部をエッチングすることによって、連続スペースを形成する。高さが低減された二酸化ケイ素層部分が、2つのコンタクト・トラフ間に残る。連続スペースにダマシン銅を充填する。結果として生じるデュアル・ダマシン銅相互接続部は、露出するダマシン・タングステン相互接続部領域に電気的にコンタクトする。

Description

【技術分野】
【0001】
本発明は、電子構造の製造方法に関する。
【背景技術】
【0002】
半導体基板上に製造される集積回路には通常、半導体基板上の離散半導体デバイスを電気的に相互接続するために多層レベルの金属相互接続が必要である。基板層内および基板層上に存在する半導体デバイス間の局所的な相互接続を得るためには、ダマシン・タングステン・コンタクトの下部配線レベルを用いるのが普通である。残念ながら、上部レベルのダマシン銅配線と下部配線レベルのダマシン・タングステン・コンタクトとの間に、信頼性のある低抵抗コンタクトを形成するのは難しい。
【発明の開示】
【発明が解決しようとする課題】
【0003】
上部レベルのダマシン銅配線と下部配線レベルのダマシン・タングステン・コンタクトとの間に、信頼性のある低抵抗コンタクトを形成する方法および構造が必要とされている。
【課題を解決するための手段】
【0004】
本発明は、
(a)半導体基板を用意するステップと、
(b)半導体基板上に第1の層を形成するステップであって、第1の層は、電気絶縁性材料によって分離されたタングステンの複数の導電性領域を含むステップと、
(c)第1の層上にエッチ・ストップ層を形成するステップと、
(d)エッチ・ストップ層上に電気絶縁体層を形成するステップと、
(e)絶縁体層を通ってエッチ・ストップ層まで延びるコンタクト・ビアを、対応する導電性領域の上方に形成するステップと、
(f)コンタクト・ビアの底部のエッチ・ストップ層をエッチングして、コンタクト・ビアの下方の対応する導電性領域の最上面を露出させるステップと、
(g)コンタクト・ビアに高融点金属ライナとダマシン銅とを、コンタクト・ビア内にダマシン銅相互接続部が形成されるように充填するステップであって、ダマシン銅相互接続部を導電性領域の最上部と電気的接続状態にするステップと、を含む電子構造の製造方法を含む。
【0005】
また本発明は、あるボリュームの材料の表面を洗浄する方法であって、
高融点金属またはシリコンを含むあるボリュームの材料を用意するステップと、
あるボリュームの材料の表面をフッ酸で酸洗浄するステップと、を含む方法を提供する。
【0006】
本発明の利点は、上部レベルのダマシン銅配線と下部配線レベルのダマシン・タングステン・コンタクトとの間の信頼性のある低抵抗コンタクトを提供することができる点である。
【0007】
本発明の実施形態を、添付の図面を参照しながら、例として説明する。
【発明を実施するための最良の形態】
【0008】
本実施形態は、ダマシン・タングステン局所配線レベルに電気的に結合する高アスペクト比のデュアル・ダマシン銅相互接続部を製造する構造および関連する方法を開示する。この明細書を通して、語句「ダマシン・タングステン」は、わかりやすいように用いており、本発明を限定するためのものではない。ダマシン・タングステン配線レベル内のタングステンの代わりに、好適であればどんなダマシン導体(特にポリシリコンなど)を用いても良い。また語句「ダマシン銅」は、1つまたは複数のトレンチが誘電体層内に作られ、1つまたは複数のレベルの高融点金属ライナがトレンチ内に堆積され、トレンチに銅が充填されて平坦に研磨され、ライナおよび銅がトレンチ内に残されていることを意味するものと解する。また本発明は、2つのコンタクト・ビアおよびトラフ(troughs)に関して記述する。これは、わかりやすいように行っており、本発明を限定するためのものではない。当業者であれば、1つまたは複数のコンタクト・ビアが存在し得ること、および1つまたは複数のトラフを用いても良いことを理解するであろう。
【0009】
次に図面を参照して図1から始めると、半導体構造またはウェハ100の断面図が示されている。ウェハ100は基板110を有している。基板110は、好ましい実施形態ではシリコン基板であるが、特にp型またはn型の単結晶シリコン、シリコン・オン・インシュレータ(SOI)、石英、サファイア、ガリウムヒ素などを含んでいても良い。数多くのデバイス(図示せず)たとえばゲート、トランジスタ、拡散、コンデンサなどが、基板110内に埋め込まれていても良い。
【0010】
基板110内のデバイスは、ダマシン・タングステン局所配線レベル90内に形成されたダマシン・タングステン導電性相互接続部120によって、標準的な加工を用いて、コンタクトされている。導体の中でも、チタンまたは窒化チタンによってライニングされたタングステンまたはドープド・ポリシリコンが、配線レベル90内の導体として用いられている。本開示においては、タングステンが好ましい実施形態であると仮定する。ダマシン・タングステン配線レベル90は、ダマシン高融点金属局所相互接続層を表す例である。ダマシン・タングステン相互接続部120は、絶縁性誘電体材料130、たとえば、特にホウ素リン珪酸ガラス(BPSG)、またはリン珪酸ガラス(PSG)によって、互いに隔離されている。次に、ダマシン・タングステン局所配線レベル90(すなわちダマシン・タングステン相互接続部120と絶縁性誘電体材料130とからなる)の表面122を、既知の技術を用いて平坦化する。結果として生じるこの平坦な表面122は、本発明で開示される加工手順の基礎をなす。
【0011】
比較的薄い(たとえば、特に約50nmの)層の膜140を、ダマシン・タングステン相互接続部120と絶縁性誘電体材料130との層の上に堆積する。この膜140(通常は窒化ケイ素膜)は、プラズマ励起化学気相成長法(PECVD)、高密度プラズマ化学気相成長法(HDPCVD)、低圧化学気相成長法(LPCVD)、または当技術分野で知られている他の好適な加工技術を用いて堆積しても良い。
【0012】
膜140の後に、比較的厚い(たとえば約150から1000nmの)二酸化ケイ素層150を設ける。膜140と二酸化ケイ素層150との膜は好ましくは、低帯電ダメージのプロセスを用いて、好ましくは低圧RFパワー密度のシラン−酸化物ベースの化学反応、または高圧(すなわち約14Torr)のテトラエチルオルトシリケート(TEOS)化学反応PECVDを用いて、堆積する。あるいは、誘電定数を下げるために、フッ素ドープまたは炭素ドープされた誘電体を用いることもできる。膜140は、好ましくは後述するように、その後のエッチングに対する反応性イオン・エッチング(RIE)ストップとして機能するためのものであり、また銅拡散バリアとしても機能する。膜140は、層150(たとえば、特に二酸化ケイ素、フッ素化された二酸化ケイ素、リン珪酸ガラス、ホウ素リン珪酸ガラス、CH−ドープされた二酸化ケイ素、SiCまたはSiC)のエッチングの間にRIEストップとして機能するものであればどんな誘電体であっても良い。
【0013】
図3は、当技術分野で知られている何らかの方法で、二酸化ケイ素層150を研磨して高さを低減し洗浄した後の図1を示す。たとえば化学的機械研磨(CMP)を用いて、二酸化ケイ素層150の一部をたとえば、特に150nmだけ、研磨除去しても良い。この研磨ステップの目的は、ダマシン・タングステン相互接続部120を形成するために用いるプロセスから生じ得るわずかな起伏も除去するために、二酸化ケイ素層150を平坦化することである。一般に、ダマシン・タングステン相互接続部を形成するためにダマシン加工を用いる場合、2つの問題が生じる可能性がある。図1を参照すると、第1の問題は、絶縁性誘電体材料130がスクラッチ(scratched)される場合があり、そして絶縁性誘電体材料130内のこれらスクラッチが、次の二酸化ケイ素層150内に複製される可能性があることである。第2の問題は、ダマシン・タングステン相互接続部120が、絶縁性誘電体材料130の上方に少量だけ突き出る場合があるか、または絶縁性誘電体材料130表面の下方に少量だけ引っ込む場合があることである。ダマシン・タングステン相互接続部120のプロセス欠陥(たとえばスクラッチ、突出部、または不十分なタングステン充填量、引っ込んだダマシン・タングステン層など)に起因するこの少量の起伏を、研磨加工ステップによって取り除く。以上のように、この二酸化ケイ素研磨ステップの目的は、前述した小さな起伏を除去することである。
【0014】
図2に、図1と同様にシリコン基板108上にダマシン・タングステン配線レベル107を有する電子構造109の断面図の変形を示す。この図では、隆起部101、凹部102、継ぎ目(seam)103、スクラッチ104、および埋め込み粒子106などの様々な望ましくない製造上の起伏が示されている。
【0015】
CMPステップが最適化されていること、およびCMPステップは、その後の銅/窒化タンタル/タンタルのCMPステップが絶縁体層150内へ過剰研磨するように変更されている場合には、回避できることに留意されたい。このアプローチの不利な点は、これによって、ダマシン銅ワイヤの抵抗と静電容量の変動とがはるかに増大することである。
【0016】
CMPステップの後に、ブラシ洗浄加工ステップ、あるいはフッ化水素(HF)酸エッチを行う。これは特に、500:1バッファードフッ化水素(BHF)酸エッチであっても良い。これらのステップの目的は3つの部分からなる。残留する研磨スラリ、可動イオンなどをウェハから取り除くこと、二酸化ケイ素層150の小部分をエッチング除去して、ウェハ表面からさらに汚染物質を除去すること、後述するように、その後の二酸化ケイ素キャップ堆積物の付着力を高めること、である。
【0017】
またCMP後厚みの測定技術を用いて、結果として生じる、すなわち「出て行く(outgoing)」二酸化ケイ素層150の厚みを制御する。出て行く二酸化ケイ素層150の厚みが良好に制御されていないと、その後のコンタクトRIEアンダーエッチ/オーバーエッチ・プロセス・ウィンドウが小さくなるかまたは無くなってしまう。好ましいCMP除去は約150±30nmであるが、それを超えるかまたはそれ未満のCMP除去も許容範囲である。
【0018】
図4に、任意選択の二酸化ケイ素CMP後PECVD二酸化ケイ素キャップ層350の堆積を行った後のウェハ100を例示する。二酸化ケイ素キャップ層350はアンドープであっても良いし、二酸化ケイ素キャップ層350材料の誘電定数を小さくするためにドーピング(たとえばフッ素または炭素)を含んでいても良い。この二酸化ケイ素キャップ層350の堆積は、必須ではないが有益である。というのは、二酸化ケイ素層150のCMPの間に発生し得るわずかなスクラッチに起因する、その後に堆積される銅層内での電気短絡が、キャップ層350によって減少するからである。この二酸化ケイ素キャップ層350の最終厚みは、その後に形成されるコンタクトおよび銅層の高さが最適化されるように調整する。たとえば、250nm幅のコンタクトおよび銅層の場合、考えられる高さの1つの組は、コンタクトおよび銅層に対して、それぞれ400nmおよび300nmである。
【0019】
二酸化ケイ素キャップ層350の特徴は、表面層スクラッチの伝搬をさらに減らすことである。すなわち図5に示すように、スクラッチ157は、下部の二酸化ケイ素層150内にあっても、共形またはほぼ共形な二酸化ケイ素キャップ層350の堆積によって取り除かれる。
【0020】
図6に、二酸化ケイ素キャップ層350(図4を参照)上にフォトレジスト層440を堆積し、当技術分野で知られる標準的な手順を用いて、フォトレジスト層440の部分410および420の下方にコンタクト・ビアをパターン形成した後の図4の構造を示す。図6では、説明を簡単にするために、図4の二酸化ケイ素層150と二酸化ケイ素キャップ層350とを組み合わせて、二酸化ケイ素層450で置き換えている。フォトレジスト層440には、二酸化ケイ素層450上に堆積される第1の反射防止コーティング(ARC)層460を用いても良い。一般的に、ARC層によって、フォトレジストを露光するときに小さいフィーチャを解像する能力が向上する。
【0021】
図7に、二酸化ケイ素層450を選択エッチングして、二酸化ケイ素層450を通って膜140まで延びる2つのコンタクト・ビア・ホール510を形成した後の図6の構造を示す。コンタクト・ビア・ホール510は、図6の部分410および420の真下に位置する。選択的な二酸化ケイ素RIE化学反応を用いて、コンタクト・ビア・ホール510が、膜140までは延びるが膜140を通っては延びないようにする。このプロセス段階は実際には、2ステップの反応性イオン・エッチ(RIE)を伴っている。第1のステップでは、第1のARC層460(図6を参照)のエッチングを、RIEを用いてまたは任意選択で選択エッチ化学反応を用いて行って、エッチが二酸化ケイ素層450上で停止するようにする。エッチの第2のステップでは、二酸化ケイ素450のエッチングを、当技術分野で知られるように、およそ10:1またはそれ以上の選択性で実質的に膜140上で停止する選択エッチ化学反応を用いて行う。「選択性」は、エッチングしたい材料のエッチ・レートを、エッチングしたくない材料のエッチ・レートで割ったものとして定義される。エッチングが完了した後に、フォトレジスト層440を、好ましくは酸素プラズマまたはダウンストリーム・プラズマ・ドリップ・プロセスを用いて、剥離する。標準的なウェット・ケミカルなフォトレジスト剥離方法、たとえば硫酸と過酸化水素とを混合したものは、膜140のピンホールを通して120の導体をエッチングする場合があるので、使用してはならないことに留意されたい。フォトレジストの除去を、角455(図12を参照)が丸まらないように無指向性の剥離プロセスを用いて行うことが、非常に望ましい。角が丸まっていなければ、銅の充填量がより良くなる。また、455における角は、丸まっていないかまたは角張っていることが望ましい。というのは、これらの点での電気短絡が発生することが回避できるからである。
【0022】
このデュアル・ダマシン・プロセスの第2のステップ(すなわち、ワイヤ・トラフのプリンティングおよびRIEエッチングを伴う)の間にフォトレジストを剥離することは、ワイヤ・トラフの上部の角を丸める心配があるので、重要なプロセスである。上部のワイヤ・トラフの角が実質的に丸まっていると、ワイヤ間が電気短絡する可能性が高まる。これは以下のことを意味している。すなわち、フォトレジスト剥離ステップは、リソグラフィ・プリンティングまたは位置合わせ問題(リソグラフィ再加工(rework)と言う)があるためにワイヤ・トラフをRIEエッチングする前にワイヤ・トラフ・フォトレジストを剥離するときに用いるか、またはトラフのRIEエッチ後に用いるかのいずれかであるが、フォトレジスト剥離のうちウェハ上にレジストが残っている部分の間は実質的に無指向性でなくてはならない。フォトレジスト剥離は、2つのステップに分かれることに留意されたい。第1のステップでは、ウェハ上のブランケット表面からフォトレジストを除去する。第2のステップでは、ウェハ上のトレンチまたは他の起伏フィーチャからフォトレジストを除去する。また第2のステップには、フォトレジストが完全に除去されているにもかかわらずフォトレジスト剥離プロセスが行われ続ける過剰剥離の部分が含まれる。第1のフォトレジスト・ステップの間は、プラズマ剥離ツール内で行われる場合、剥離されたフォトレジストまたはRIEエッチ残留物あるいはその両方が剥離化学反応と混ざって、著しいスパッタおよびRIE成分がフォトレジスト剥離プロセスに付加される可能性がある。実質的なウェハ・バイアスを伴うプラズマ剥離プロセスを用いる場合、ウェハ・バイアスによってウェハ上へのイオン衝撃が増加し、その結果、ワイヤ・トラフの角の丸み付けが増加する。この問題は、第1のフォトレジスト・ステップを、非プラズマ環境内で行うか(すなわち、溶剤剥離、ダウンストリーム・プラズマ、オゾン剥離など)、またはウェハに印加するウェハRFバイアス・パワーを最小限にして行うことによって、著しく低減することができる。最適なプラズマ・フォトレジスト剥離プロセスにおいては、ウェハ・プラズマ剥離の第1ステップで、非プラズマまたは低RFバイアス・パワーを用い、その後に高RFバイアス・パワーを第2ステップとしてのウェハ・プラズマ剥離で用いる。高RFバイアス・パワーの第2のステップは、フォトレジスト、ARC、またはRIEエッチ残留物を、ウェハ上のトレンチまたは他の起伏フィーチャから除去するのに必要である。
【0023】
次に、特に100:1の希釈HF酸エッチ液を用いるエッチからなる任意選択のステップを行って、約5から10nmの二酸化ケイ素を除去しても良い。このステップによって、前の第2のステップのエッチのエッチ残留物が除去される。あるいは、HF酸水溶液の代わりに、脱イオン水、またはエッチ残留物の洗浄に対して有効であると当技術分野で知られる他のどんな溶媒も、用いることができる。
【0024】
図8に、ダマシン・ビア510の内部を含むウェハ100の全表面を覆う第2の反射防止コーティング層620を、当技術分野で知られる技術を用いて堆積させた後の図7の構造を示す。第2のARC層620は、既知の技術(たとえばビア・ファースト(via-first)・デュアル・ダマシン加工)、すなわちビア・ファースト・デュアル・ダマシン加工に関連して当技術分野で知られるように、反射防止コーティング材料をコンタクト・ホールまたはダマシン・ビア510内部へ低温(たとえば約170から230℃)でリフローする技術を用いて、処理する。このARC層620の堆積に続いて、その後に、第2の反射防止層620内に連続スペース630を選択的に開口するために用いられるフォトレジスト層610の堆積およびパターン形成を行う。パターン形成されたフォトレジスト層610は、ARC層620の最上部に位置し、所望のダマシン・ワイヤ・パターンを用いてパターン形成されている。
【0025】
次に図9を参照して、第2の反射防止コーティング層620の露出部分を、第2の反射防止コーティング層620は選択領域においてエッチングするが二酸化ケイ素層450は実質的にエッチングしないプロセスを用いてエッチングした後の図8の構造が示されている。
【0026】
図10に、ダマシン・トラフ間に存在する二酸化ケイ素層450の一部分の高さが低減されるまで引き続いてRIEエッチングし、そしてその後の加工ステップにおける良好なライナおよび銅充填を促すために二酸化ケイ素層450の角810(透視図で示す)を丸めるようにさらにエッチングした後の図9の構造を示す。高さが低減されるまで二酸化ケイ素層450の一部分をエッチングした結果、連続スペース630が増えて、ダマシン・ビア510と二酸化ケイ素層450との間に広がっている。このステップで用いるエッチは、特に、所望の最終深さよりも(たとえば約50nmだけ)深い深さまでダマシン・ビア510をエッチングするために用いる二酸化ケイ素RIEであっても良い。このRIEは、二酸化ケイ素450の角810を丸める一方で、ほぼ垂直なコンタクト側壁830をダマシン・ビア510の上に残すように、最適化されている。
【0027】
図11に、フォトレジスト層610と第2の反射防止コーティング層620の両方とも剥離除去して、コンタクト・ビア910を残した後の図10の構造を示す。フォトレジスト層610の剥離ステップは、ウェハ100上へのイオン衝撃を最小限にして角810の丸み付けが最小限になるように行う。無指向性剥離たとえば特に低ウェハ・バイアス・プラズマ剥離を用いることができる。フォトレジスト層610の剥離ステップでは、コンタクト・ビア910内のARC層620が除去されるように、注意を払わなければならない。これは、発光(または何でも)によるレジスト剥離エンドポイント測定を用いてレジスト剥離時間を制御する場合、長い過剰剥離(通常100から200%)を用いなければならないことを意味する。連続スペース630は、コンタクト・ビア910を含むように広げられている。
【0028】
角の丸み付けをさらに最小限にするために、高圧剥離プロセス・ステップを行っても良い。高周波(RF)パワーを用いるデュアル電極システムにおいては、ウェハ・イオン衝撃を最小限にするために、RFパワーの殆どまたは全部を上部電極に結合させなければならない。あるいは、2ステップ剥離プロセスを、フォトレジスト層610のレジストが剥離された2ステップ剥離の2番目のステップの間だけ、RFパワーを電極に結合して使用することができる。2ステップ剥離プロセスは、コンタクト・ビア910からのポリマーまたは残留ARCの除去を助けることになる。単一電極システムにおいては、イオン衝撃に誘発される角の丸み付けを最小限にするために、RFパワーを、レジスト剥離ステップの間、単一電極システムで用いる過剰剥離ステップよりも前において、最小限にしなければならない。全てのレジスト剥離プロセスにおいて、フッ素化されたレジストがウェハ100上に残っている間は角の丸み付けが促進され、制御のための重要なパラメータは、レジスト過剰剥離ステップよりも前においては、レジスト剥離ステップ中のイオン衝撃密度/エネルギーであることに留意されたい。
【0029】
図12に、業界標準のRIE化学反応を用いて、各コンタクト・ビア910の底部における膜140をエッチングして、ダマシン・タングステン相互接続部120の最上面125まで各コンタクト・ビア910を延ばした後の図11の構造を示す。したがって連続スペース630は、延長されたコンタクト・ビア910を含むように、さらに広げられている。図13〜14と関連して後述するように、デュアル・ダマシン銅相互接続部を連続スペース630内に形成する。したがって各コンタクト・ビア910の底部においては、その後に形成されるデュアル・ダマシン銅相互接続部によって、ダマシン・タングステン相互接続部120の最上面125との電気コンタクトが可能になる。RIEプロセス方法の1つの実施形態においては、ダマシン・ビア510のRIE(図9を参照)、フォトレジスト層610のレジスト剥離(図10を参照)、および膜140のRIEを全て、単一のRIEチャンバまたはツール内で行う。
【0030】
プロセスの次のステップでは、約10nmの二酸化ケイ素を、ウェハ100から取り除くために、特にダマシン・タングステン相互接続部120上から取り除くために、フッ化水素(HF)酸洗浄(たとえばフッ化水素酸の希釈1%溶液を用いる)を必要とする。この酸洗浄は、表面のフッ素除去を行うために、またわずかな残留ポリマーも除去するために行う。フッ化水素酸の濃度としては、特に約10:1から約500:1、好ましくは約100:1が含まれていても良い。100:1希釈は、本明細書においては、HFをビンから出したときに希釈することを指す。実際には、製造業者から入手したときには、約1部の水対1部のHFである。本明細書において記載される希釈は、ビンからのHFに対するものである。
【0031】
フッ化水素酸を用いてコンタクトを、タングステンまたはシリコンに至るまで洗浄することは、当技術分野では知られていない。というのは、溶剤洗浄たとえばAZ(商標)またはNMP(商標)が通常、タングステンまたはシリサイド化されたシリコン表面を洗浄するために行われているからである。フッ化水素酸が、高融点金属酸化物または他のこのような生成物(チタン、タングステン、コバルトなどから形成される酸化物)をエッチングすることは、知られていない。これらのデュアル・ダマシン構造に対しては、シングル・ダマシン構造に対して行っている標準的なAZ(商標)または他の溶剤洗浄では、高融点金属のコンタクト・レミッタンス(remittance)がはっきりと劣化する(すなわち減少する)。これは恐らく、ダマシンの局所的なタングステン相互接続部内のボイドから溶剤を完全に除去することができないためか、またはタングステン表面上の損傷領域を除去できないためである。
【0032】
図12のエッチ・ステップの後に、アルゴン(Ar)スパッタ洗浄を行っても良い。これは、ダマシン・タングステン相互接続部120の上部またはウェハ100のどこか他の場所の二酸化ケイ素または他のエッチ残留物たとえば酸化タングステンをスパッタ除去するためである。通常、このアルゴン・スパッタ洗浄によって、平坦な表面から約10nmの二酸化ケイ素を除去する。またアルゴン・ガスは、他のドーパント・ガスたとえば特に水素またはヘリウムを含んでいても良い。またスパッタ洗浄は、ウェハ100から残留物を完全に除去するのに十分に長い時間、続ける必要がある。経験的にわかっていることによれば、5nmの残留物のスパッタ除去に必要な時間では、不要な残留物の除去には不十分であるが、10nmのスパッタ除去に必要な時間であれば十分である。しかしアルゴン・スパッタ洗浄によって二酸化ケイ素を過剰に除去してしまうと、エッチングされた構造の角の丸み付けが起こる可能性があるという問題が生じる。したがって、十分な量がスパッタ洗浄されるが過剰な量とはならないように、バランスを見出さなければならない。過剰の例は約20nmであろう。過少の例は約5nmであろう。
【0033】
スパッタ前洗浄の後、また次に図13を参照して、窒化タンタルおよびタンタルからなる層1110(銅拡散バリア)と、薄い銅スパッタ層1115とからなる、露出するウェハ100表面を覆う積層膜1125を堆積した後の図12の構造が示される。この積層膜1125によって、良好な側壁カバレージが得られる。窒化タンタル/タンタル/銅(TaN/Ta/Cu)堆積プロセス、たとえばイオン化物理的気相成長法(IPVD)、ホロー・カソード・マグネトロン(HCM)、化学気相成長法(CVD)、ロング・スロー・スパッタ法、またはこれらの組み合わせを用いる。本開示で論じる幾何形状については、IPVDを用いた10nm/40nm/100nmのTaN/Ta/Cu積層膜を堆積したが、他の多くのTaN/Ta/Cu厚みの組み合わせを用いても良い。本明細書ではTaを記載したが、Taの代わりに他のどんな高融点金属または金属の組み合わせを用いても良い。たとえば、窒化タンタル、窒化チタン、窒化タングステン、タングステンなどである。
【0034】
TaN/Ta層1110を、何らかの高融点金属(前述した)を用いて何らかの標準的な銅拡散バリアとして堆積させた後に、薄い銅スパッタ層1115を設ける。薄い銅スパッタ層1115は、その後の銅メッキに対するシード膜として機能する。銅スパッタ層1115の代わりに無電界メッキ銅であっても良い。次に、ウェハ表面全体上に厚い銅層1120を電気メッキして、全ての凹部に充填する。TaN/Ta層1110の窒化タンタル部分に対する公称厚みは約10nmであり、TaN/Ta層1110のタンタル部分に対しては約40nmであり、銅スパッタ層1115に対しては約100nmである。しかしこれらの厚みは、製造プロセスにおいて望ましい特定の寸法に対して調整することができる。コンタクト・ビア910の典型的な深さは約450nmであり、コンタクト・ビア910の最小限のトラフ幅は約250nmである。ダマシン・タングステン相互接続部120に対する最小限のコンタクト幅は約250nmであり、コンタクト高さは約500nmである。図14に示すような電気メッキされた銅層1120の最終的な高さは、およそ積層全体の高さ、すなわち膜140足す二酸化ケイ素層450の高さである。この例では、膜140と二酸化ケイ素450との高さが約750nmの場合には、電気メッキ銅層1120の厚みは約750nmよりもわずかに厚い。電気メッキ銅層1120が、膜140と二酸化ケイ素層450とを組み合わせた高さよりもわずかに厚くなくてはならない理由は、電気メッキ銅層1120が、全ての表面上に均一に形成されるわけではない非共形な充填を生じる傾向にあるからである。したがって電気メッキ銅層1120が適切に合致することを保証するために、膜140と二酸化ケイ素層450とを組み合わせた高さよりもわずかに厚く(約10%だけ厚く)、メッキしなければならない。通常は、誤差(たとえば許容差)およびウェハ間の厚み変動があるために、電気メッキ銅層1120は、今述べた厚みよりもさらに厚くなることが考えられる。銅充填の他の方法たとえばPVDとCVDとの組み合わせを、電気メッキの代わりに用いることができる。電気メッキ銅層1120を堆積した後に、電気メッキ銅層1120を結晶化するために、任意選択のアニールたとえば特に100℃で1時間を行う。
【0035】
図14に、銅の化学的機械研磨プロセスを用いてウェハ100の上面を平坦化した後の図13の構造を示す。この平坦化プロセスは、電気メッキ銅層1120の上部とともにTaN/Ta層1110の最上部を除去するために用いるウェット機械研磨プロセスであっても良い。電気メッキ銅層1120を、業界標準の既知のプロセスを用いて研磨して、TaN/Ta層1110上で停止する。一般に、銅を研磨してライナまたはエンドポイント上で停止し、次にプロセスを切り換えてライナを研磨することが、当技術分野では良く知られている。次にウェハをCMPして、窒化タンタルおよびタンタルの銅拡散バリアを除去することができる。あるいは、窒化タンタル/タンタルの銅拡散バリアの両方を単一ステップで除去する単一ステップのCMPプロセスを用いることができる。
【0036】
しかしデュアル・ダマシン・プロセスを行ったので、電気メッキ銅層1120の研磨を行う時間を、シングル・ダマシン・プロセスの場合よりも長くすることが好ましい。シングル・ダマシン・プロセスとデュアル・ダマシン・プロセスとを比較すると、エンドポイントの銅研磨を用いる場合、デュアル・ダマシン・プロセスの場合の過剰研磨を、シングル・ダマシン・プロセスの場合よりも30から100%だけ長くしなければならない。この長くなった研磨時間は、近接して間隔をあけて配置されたダマシン・コンタクト間の銅を除去するために必要であり、これはこれらの構造内に角の丸み付けが存在するためである。最も望ましいのは、化学エッチ成分を増やした銅研磨プロセス、たとえば4%過酸化水素を用いるものを、利用することである。
【0037】
銅研磨ステップの後に、他の研磨ステップを用いて、TaN/Ta層1110の最上部を除去する。この研磨ステップは、二酸化ケイ素層450に至るまで続ける。このステップでは通常、多少(たとえば約50nm)の二酸化ケイ素層450も除去される。平坦化/研磨の後、電気メッキ銅層1120、銅スパッタ(または無電界)層1115、およびTaN/Ta層1110の残留部分によって、一括して、本発明のデュアル・ダマシン銅相互接続部1250が構成される。
【0038】
最後に、CMPの後に、結果として生じるデュアル・ダマシン銅相互接続部1250(ダマシン・タングステン相互接続部120と導電性コンタクト状態にある)のテスタビリティを高めるために任意選択の300から450℃のアニールを用いる。
【0039】
図14において、デュアル・ダマシン銅相互接続部1250は、コンタクト・ビア1251と1252とを有する。コンタクト・ビア1251と1252とは、デュアル・ダマシン銅相互接続部1250の、ダマシン・タングステン相互接続部120と直接的に電気接続する部分である。通常の180nm技術の場合には、コンタクト・ビア1251と1252とはそれぞれ、約250nmの幅W、約300nmの高さHとを有する。また図14において、デュアル・ダマシン銅相互接続部1250は、約250nmの全体の幅Wと、約320nmの全体の高さHとを有する。
【0040】
図14では、第1の部分141、第2の部分142、および第3の部分143を有する膜140が示されている。また図14では、第1の部分451、第2の部分452、および第3の部分453を有する二酸化ケイ素層450が示されている。二酸化ケイ素層450の第1の部分451は、膜140の第1の部分141上にあり、デュアル・ダマシン銅相互接続部1250の第1の表面1251とコンタクトしている。二酸化ケイ素層450の第2の部分452は、膜140の第2の部分142上にあり、デュアル・ダマシン銅相互接続部1250の第2の表面1252とコンタクトしている。二酸化ケイ素層450の第3の部分453は、膜140の第3の部分143上にあり、デュアル・ダマシン銅相互接続部1250と膜140の第3の部分143との間に配置されている。
【0041】
本発明の代替的な実施形態においては(図15)、図1〜14のダマシン・タングステン相互接続部120の代わりに、拡散、トランジスタ、および他の受動または能動素子を含み得るシリコン体積1310および1320とすることができる。この実施形態においては、銅がTaN/Taライナ1110を通ってシリコン体積1310および1320内へ拡散しないように注意しなければならない。というのは、どのタイプのトランジスタについても、特に金属酸化物半導体(MOS)トランジスタについて、その適切な使用状態が、銅によって劣化するからである。以上のように、ダマシン・タングステン相互接続部120を、特にダマシン高融点金属相互接続部(たとえばダマシン・タングステン相互接続部)または半導体材料(たとえばシリコン)を含む導電性領域120に一般化し、またこのような導電性領域120として識別しても良い。
【0042】
図14の変形として、図16および図17に、多数のデュアル・ダマシン銅ワイヤ1250とコンタクト・ビア1251、1252、1410との断面図および関連する平面図を、それぞれ示す。コンタクト・ビア1251、1252、1410は、下方のダマシン・タングステン配線レベル90と、ダマシン・タングステン相互接続部120で接続している。図17に示すダマシン・銅ワイヤ1250は、ゼロ、1つ、または1を超えるデュアル・ダマシン・コンタクト・ビア1251、1252、1410を有することができる。図17に、ダマシン銅ワイヤ1250と(隠れている)デュアル・ダマシン・コンタクト・ビアとの平面図を示す(コンタクト・ビアの下方のダマシン・タングステン配線レベルは示していない)。銅ワイヤ1250のサイズに制限はなく、特に接地面などの導電性レベルを形成するように、大きくしても良い。
【0043】
本明細書では、膜140が窒化ケイ素を含んでいると説明してきたが、膜140は一般的に、図7と関連して前述したように、上方の二酸化ケイ素層450の選択エッチングに対するエッチ・ストップ層であると考えても良い。したがって膜140は、エッチ・ストップ絶縁性材料、たとえば特に窒化ケイ素、炭化ケイ素(SiC)、またはケイ素炭素−水素−窒素の化合物(SiC)を含んでいても良い。
【0044】
本明細書では、図1〜5の二酸化ケイ素層150、図4および5の二酸化ケイ素キャップ層350、および図6〜15の二酸化ケイ素層450はそれぞれ、二酸化ケイ素を含んでいると説明してきたが、層150、350、および450は一般的に、特に二酸化ケイ素などの絶縁性材料を含む電気絶縁層であると考えても良く、酸化物の誘電定数を下げるために、フッ素ドープまたは炭素ドープされた誘電体を用いることもできる。
【0045】
本明細書では、説明を目的として本発明の実施形態を記載してきたが、多くの変更および変形が当業者には明らかとなる。したがって添付の特許請求の範囲が、本発明の真の趣旨および範囲に含まれる変更および変形を全て包含することが、意図されている。
【図面の簡単な説明】
【0046】
【図1】シリコン基板上のダマシン・タングステン配線レベル、ダマシン・タングステン配線レベル上の窒化ケイ素層、窒化ケイ素層上の二酸化ケイ素層を有する電子構造を示す断面図である。
【図2】図1と同様にシリコン基板上にダマシン・タングステン配線レベルを有する電子構造を示す断面図であり、隆起部、凹部、継ぎ目、スクラッチ、および埋め込み粒子などの様々な種類の望ましくない製造上の起伏を示す。
【図3】前のレベルのスクラッチおよび起伏を除去または低減するために、二酸化ケイ素層を研磨して高さを低減し、洗浄した後の図2を示す図である。
【図4】二酸化ケイ素層上に二酸化ケイ素キャップを堆積した後の図3を示す図である。
【図5】1つの層内のスクラッチまたは他の起伏が2番目の層内に複製された図4を示す図である。
【図6】二酸化ケイ素キャップ上にレジスト層が堆積された後の図4を示す図である。
【図7】レジストをエッチングしてコンタクト・ビアを窒化ケイ素層に対して形成し、レジスト層を除去した後の図6を示す図である。
【図8】コンタクト・ビアの内部を含むウェハ表面の全体を覆う反射防止コーティング層を堆積してリフローし、反射防止コーティング層上にフォトレジスト層を堆積した後にフォトレジストのリソグラフィ・パターン形成と開口とを行った後の図7を示す図である。
【図9】反射防止コーティングはエッチングするが二酸化ケイ素はエッチングしないプロセスを用いて反射防止コーティングのエッチングを行った後の図8を示す図である。
【図10】コンタクト・トラフ間の二酸化ケイ素の最上部をエッチングし、良好なライナおよび銅充填を促すために二酸化ケイ素の角を丸めるようにエッチングした後の図9を示す図である。
【図11】フォトレジスト層と反射防止層とを剥離除去した後の図10を示す図である。
【図12】各コンタクト・トラフの底部の窒化ケイ素層をエッチングした後の図11を示す図である。
【図13】ウェハ表面全体の上に、窒化タンタルとタンタルとの層からなる積層膜を含む標準的な銅拡散バリアを堆積し、銅シード層を堆積し、銅シード・バリア上に電気メッキ銅を堆積した後の図12を示す図である。
【図14】ウェハ上の銅の上部を、窒化タンタルとタンタルとの銅拡散バリアは除去しないCMPプロセスで除去し、残りの露出表面を平坦化した後の図13を示す図である。
【図15】ダマシン・タングステン相互接続部の代わりに半導体材料とし、半導体デバイスが含まれる、図14の代替的な実施形態を示す図である。
【図16】複数のデュアル・ダマシン銅ワイヤとコンタクト・ビアとを示す本発明の代替的な実施形態を示す断面図である。
【図17】図16に示した構造を示す平面図である。

Claims (10)

  1. (a)半導体基板を用意するステップと、
    (b)前記半導体基板上に第1の層を形成するステップであって、前記第1の層は、電気絶縁性材料によって分離されたタングステンの複数の導電性領域を含むステップと、
    (c)前記第1の層上にエッチ・ストップ層を形成するステップと、
    (d)前記エッチ・ストップ層上に電気絶縁体層を形成するステップと、
    (e)前記絶縁体層を通って前記エッチ・ストップ層まで延びるコンタクト・ビアを、対応する導電性領域の上方に形成するステップと、
    (f)前記コンタクト・ビアの底部の前記エッチ・ストップ層をエッチングして、前記コンタクト・ビアの下方の前記対応する導電性領域の最上面を露出させるステップと、
    (g)前記コンタクト・ビアに高融点金属ライナとダマシン銅とを、前記コンタクト・ビア内にダマシン銅相互接続部が形成されるように充填するステップであって、前記ダマシン銅相互接続部を前記導電性領域の最上部と電気的接続状態にするステップと、を含む電子構造の製造方法。
  2. 前記ステップ(e)が、前記絶縁体層を通って前記エッチ・ストップ層まで延びる複数のコンタクト・ビアを、対応する導電性領域の上方に形成するステップと、前記絶縁体層の最上部をエッチングして、前記絶縁体層の高さ低減部分を各コンタクト・ビアに隣接して残し、各コンタクト・ビアと前記絶縁体層の高さ低減部分の上方のスペースを含む連続スペースを形成するステップ、を含み、
    前記ステップ(f)が、各コンタクト・ビアの底部の前記エッチ・ストップ層をエッチングして、各コンタクト・ビアの下方の前記対応する導電性領域の最上面を露出させるステップを含み、
    前記ステップ(g)が、前記連続スペースに高融点金属ライナとダマシン銅とを、前記連続スペース内にダマシン銅相互接続部が形成されるように充填するステップであって、前記ダマシン銅相互接続部を前記導電性領域の前記最上部と電気的接続状態にするステップを含む請求項1に記載の方法。
  3. 前記複数の導電性領域のうちの導電性領域が半導体デバイスを含むことを特徴とする請求項2に記載の方法。
  4. 前記コンタクト・ビアまたは連続スペースに充填するステップが、
    前記コンタクト・ビアまたは連続スペース内の露出表面上に銅拡散バリア層を堆積すること、
    前記銅拡散バリア層上に薄い銅層をスパッタリングまたは無電界メッキすること、
    前記薄い銅層上に、電気メッキ銅層を、前記コンタクト・ビアまたは連続スペースが充填されるように形成すること、を含む請求項2に記載の方法。
  5. 前記銅拡散バリア層が、窒化タンタル、タンタル、窒化チタン、窒化タングステン、またはタングステンの1つまたは複数の層を含む請求項4に記載の方法。
  6. 前記絶縁体層が、二酸化ケイ素、フッ素化された二酸化ケイ素、CH−ドープされた二酸化ケイ素、リン珪酸ガラス、またはホウ素リン珪酸ガラスを含む請求項1ないし5のいずれかに記載の方法。
  7. 前記エッチ・ストップ材料が、窒化ケイ素または炭化ケイ素を含む請求項6に記載の方法。
  8. 前記ステップ(e)が、前記コンタクト・ビア内にボイドが全く残らないように、前記コンタクト・ビア内に反射防止コーティングをリフローするステップをさらに含む請求項1または2に記載の方法。
  9. 前記ステップ(e)が、前記反射防止コーティングの一部を前記コンタクト・ビア内に保持しながら、前記反射防止コーティング内に開口部を形成するステップをさらに含む請求項8に記載の方法。
  10. 前記ステップ(f)が、前記コンタクト・ビアにおける前記絶縁体層の前記高さ低減部分上に、丸みが付けられた角縁を形成するステップをさらに含む請求項2に記載の方法。
JP2002576013A 2001-03-23 2002-03-25 電子構造の製造方法 Pending JP2004532519A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/816,977 US6566242B1 (en) 2001-03-23 2001-03-23 Dual damascene copper interconnect to a damascene tungsten wiring level
PCT/GB2002/001414 WO2002078082A2 (en) 2001-03-23 2002-03-25 Electronic structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007316113A Division JP5220398B2 (ja) 2001-03-23 2007-12-06 電子構造の製造方法

Publications (1)

Publication Number Publication Date
JP2004532519A true JP2004532519A (ja) 2004-10-21

Family

ID=25222072

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002576013A Pending JP2004532519A (ja) 2001-03-23 2002-03-25 電子構造の製造方法
JP2007316113A Expired - Fee Related JP5220398B2 (ja) 2001-03-23 2007-12-06 電子構造の製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2007316113A Expired - Fee Related JP5220398B2 (ja) 2001-03-23 2007-12-06 電子構造の製造方法

Country Status (6)

Country Link
US (2) US6566242B1 (ja)
JP (2) JP2004532519A (ja)
KR (1) KR100530306B1 (ja)
GB (1) GB2391388B (ja)
TW (1) TW587327B (ja)
WO (1) WO2002078082A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011530835A (ja) * 2008-08-13 2011-12-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属相互接続のための共形接着促進材ライナ
JP5168273B2 (ja) * 2007-02-21 2013-03-21 富士通セミコンダクター株式会社 半導体装置とその製造方法

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3920590B2 (ja) * 2000-06-19 2007-05-30 株式会社東芝 半導体装置の製造方法
US6740956B1 (en) 2002-08-15 2004-05-25 National Semiconductor Corporation Metal trace with reduced RF impedance resulting from the skin effect
US6703710B1 (en) * 2002-08-15 2004-03-09 National Semiconductor Corporation Dual damascene metal trace with reduced RF impedance resulting from the skin effect
US6864581B1 (en) 2002-08-15 2005-03-08 National Semiconductor Corporation Etched metal trace with reduced RF impendance resulting from the skin effect
US6853079B1 (en) 2002-08-15 2005-02-08 National Semiconductor Corporation Conductive trace with reduced RF impedance resulting from the skin effect
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US6873057B2 (en) * 2003-02-14 2005-03-29 United Microelectrtonics Corp. Damascene interconnect with bi-layer capping film
US7387960B2 (en) * 2003-09-16 2008-06-17 Texas Instruments Incorporated Dual depth trench termination method for improving Cu-based interconnect integrity
GB0330010D0 (en) * 2003-12-24 2004-01-28 Cavendish Kinetics Ltd Method for containing a device and a corresponding device
WO2005072211A2 (en) * 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
KR101063796B1 (ko) 2004-05-28 2011-09-09 매그나칩 반도체 유한회사 반도체 소자의 다마신 패턴 형성 방법
KR100701375B1 (ko) * 2004-07-08 2007-03-28 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 제조 방법
US7223684B2 (en) * 2004-07-14 2007-05-29 International Business Machines Corporation Dual damascene wiring and method
US7253501B2 (en) * 2004-08-03 2007-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. High performance metallization cap layer
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7795150B2 (en) * 2004-11-29 2010-09-14 Renesas Electronics America Inc. Metal capping of damascene structures to improve reliability using hyper selective chemical-mechanical deposition
US7288487B1 (en) * 2004-12-01 2007-10-30 Spansion Llc Metal/oxide etch after polish to prevent bridging between adjacent features of a semiconductor structure
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20060228881A1 (en) * 2005-04-08 2006-10-12 Texas Instruments Incorporated Structure and method for minimizing substrate effect on nucleation during sputtering of thin film resistors
US7501690B2 (en) * 2005-05-09 2009-03-10 International Business Machines Corporation Semiconductor ground shield method
US7713865B2 (en) * 2005-06-24 2010-05-11 International Business Machines Corporation Preventing damage to metal using clustered processing and at least partially sacrificial encapsulation
US7915735B2 (en) * 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US7572741B2 (en) 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US7422981B2 (en) 2005-12-07 2008-09-09 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
US7998809B2 (en) * 2006-05-15 2011-08-16 Micron Technology, Inc. Method for forming a floating gate using chemical mechanical planarization
US20070269975A1 (en) * 2006-05-18 2007-11-22 Savas Stephen E System and method for removal of photoresist and stop layer following contact dielectric etch
US7585758B2 (en) 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
JP5165287B2 (ja) * 2007-06-27 2013-03-21 オンセミコンダクター・トレーディング・リミテッド 配線構造およびその製造方法
US20090087992A1 (en) * 2007-09-28 2009-04-02 Chartered Semiconductor Manufacturing Ltd. Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme
KR200452428Y1 (ko) * 2008-10-27 2011-02-25 김정대 낚시찌용 전자 발광장치
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US8723325B2 (en) 2009-05-06 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of forming a pad structure having enhanced reliability
US8134234B2 (en) * 2009-06-18 2012-03-13 Kabushiki Kaisha Toshiba Application of Mn for damage restoration after etchback
FR2963160A1 (fr) * 2010-07-22 2012-01-27 St Microelectronics Crolles 2 Procede de realisation d'un niveau de metallisation et d'un niveau de via et circuit integre correspondant
CN102403263B (zh) * 2010-09-17 2014-06-04 中芯国际集成电路制造(北京)有限公司 双大马士革结构中的沟槽刻蚀方法
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US9269612B2 (en) * 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
JP5976678B2 (ja) * 2011-12-20 2016-08-24 株式会社東芝 セラミックス銅回路基板
US9171801B2 (en) 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
US9536830B2 (en) 2013-05-09 2017-01-03 Globalfoundries Inc. High performance refractory metal / copper interconnects to eliminate electromigration
US9305879B2 (en) 2013-05-09 2016-04-05 Globalfoundries Inc. E-fuse with hybrid metallization
US9240376B2 (en) 2013-08-16 2016-01-19 Globalfoundries Inc. Self-aligned via fuse
US9425093B2 (en) * 2014-12-05 2016-08-23 Tokyo Electron Limited Copper wiring forming method, film forming system, and storage medium
US9431343B1 (en) 2015-03-11 2016-08-30 Samsung Electronics Co., Ltd. Stacked damascene structures for microelectronic devices
US9613861B2 (en) 2015-08-05 2017-04-04 Globalfoundries Inc. Damascene wires with top via structures
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US9761526B2 (en) 2016-02-03 2017-09-12 Globalfoundries Inc. Interconnect structure having tungsten contact copper wiring
US9741812B1 (en) 2016-02-24 2017-08-22 International Business Machines Corporation Dual metal interconnect structure
US9905459B1 (en) * 2016-09-01 2018-02-27 International Business Machines Corporation Neutral atom beam nitridation for copper interconnect
JP7127641B2 (ja) * 2017-05-11 2022-08-30 住友電気工業株式会社 半導体装置
US10361120B2 (en) 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10347528B1 (en) * 2018-03-06 2019-07-09 Globalfoundries Inc. Interconnect formation process using wire trench etch prior to via etch, and related interconnect
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11274299A (ja) * 1998-03-26 1999-10-08 Toshiba Corp 配線形成方法
JP2001053151A (ja) * 1999-08-17 2001-02-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
WO2001015211A1 (en) * 1999-08-26 2001-03-01 Brewer Science Improved fill material for dual damascene processes
JP2001068455A (ja) * 1999-08-30 2001-03-16 Hitachi Ltd 半導体装置の製造方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5071518A (en) 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
US5137597A (en) 1991-04-11 1992-08-11 Microelectronics And Computer Technology Corporation Fabrication of metal pillars in an electronic component using polishing
US5169802A (en) 1991-06-17 1992-12-08 Hewlett-Packard Company Internal bridging contact
EP0609496B1 (de) 1993-01-19 1998-04-15 Siemens Aktiengesellschaft Verfahren zur Herstellung einer Kontakte und diese verbindende Leiterbahnen umfassenden Metallisierungsebene
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5698902A (en) 1994-12-19 1997-12-16 Matsushita Electric Industrial Co., Ltd. Semiconductor device having finely configured gate electrodes
EP0751566A3 (en) 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
JPH09115875A (ja) * 1995-10-20 1997-05-02 Texas Instr Japan Ltd 半導体装置の製造方法及びこの方法に用いる処理液
US5670425A (en) 1995-11-09 1997-09-23 Lsi Logic Corporation Process for making integrated circuit structure comprising local area interconnects formed over semiconductor substrate by selective deposition on seed layer in patterned trench
JPH09330981A (ja) * 1996-06-12 1997-12-22 Mitsubishi Gas Chem Co Inc 半導体装置の製造方法
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5950102A (en) 1997-02-03 1999-09-07 Industrial Technology Research Institute Method for fabricating air-insulated multilevel metal interconnections for integrated circuits
KR100243286B1 (ko) * 1997-03-05 2000-03-02 윤종용 반도체 장치의 제조방법
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6051881A (en) 1997-12-05 2000-04-18 Advanced Micro Devices Forming local interconnects in integrated circuits
JP3228217B2 (ja) * 1998-03-27 2001-11-12 日本電気株式会社 半導体装置の製造方法
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6218302B1 (en) * 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4095731B2 (ja) * 1998-11-09 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法及び半導体装置
JP2000150644A (ja) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp 半導体デバイスの製造方法
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
JP4270632B2 (ja) * 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6211071B1 (en) * 1999-04-22 2001-04-03 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6326301B1 (en) * 1999-07-13 2001-12-04 Motorola, Inc. Method for forming a dual inlaid copper interconnect structure
JP3235062B2 (ja) * 1999-07-26 2001-12-04 松下電器産業株式会社 半導体装置の製造方法
US6168984B1 (en) * 1999-10-15 2001-01-02 Taiwan Semiconductor Manufacturing Company Reduction of the aspect ratio of deep contact holes for embedded DRAM devices
US6541863B1 (en) * 2000-01-05 2003-04-01 Advanced Micro Devices, Inc. Semiconductor device having a reduced signal processing time and a method of fabricating the same
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11274299A (ja) * 1998-03-26 1999-10-08 Toshiba Corp 配線形成方法
JP2001053151A (ja) * 1999-08-17 2001-02-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
WO2001015211A1 (en) * 1999-08-26 2001-03-01 Brewer Science Improved fill material for dual damascene processes
JP2001068455A (ja) * 1999-08-30 2001-03-16 Hitachi Ltd 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5168273B2 (ja) * 2007-02-21 2013-03-21 富士通セミコンダクター株式会社 半導体装置とその製造方法
JP2011530835A (ja) * 2008-08-13 2011-12-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属相互接続のための共形接着促進材ライナ

Also Published As

Publication number Publication date
TW587327B (en) 2004-05-11
US6566242B1 (en) 2003-05-20
WO2002078082A2 (en) 2002-10-03
US7230336B2 (en) 2007-06-12
GB2391388A (en) 2004-02-04
GB2391388B (en) 2005-10-26
KR20030086603A (ko) 2003-11-10
WO2002078082A3 (en) 2003-02-27
JP2008135758A (ja) 2008-06-12
GB0322556D0 (en) 2003-10-29
JP5220398B2 (ja) 2013-06-26
US20030232494A1 (en) 2003-12-18
KR100530306B1 (ko) 2005-11-22

Similar Documents

Publication Publication Date Title
JP5220398B2 (ja) 電子構造の製造方法
US7741226B2 (en) Optimal tungsten through wafer via and process of fabricating same
US7550822B2 (en) Dual-damascene metal wiring patterns for integrated circuit devices
US7432151B2 (en) Semiconductor device and method for fabricating the same
US7393777B2 (en) Sacrificial metal spacer damascene process
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
WO2000019524A9 (en) Ic interconnect structures and methods for making same
US7323410B2 (en) Dry etchback of interconnect contacts
KR20040003232A (ko) 반도체 소자의 다층 배선 형성방법
US6426558B1 (en) Metallurgy for semiconductor devices
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
JP2003508896A (ja) 少なくとも1つのメタライゼーション面を有する集積回路の製造方法
KR20010082972A (ko) 반도체 장치의 배선 및 이의 제조 방법
KR100419021B1 (ko) 반도체소자의 구리 배선 제조방법
US6258709B1 (en) Formation of electrical interconnect lines by selective metal etch
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
US6380082B2 (en) Method of fabricating Cu interconnects with reduced Cu contamination
US7192877B2 (en) Low-K dielectric etch process for dual-damascene structures
KR20240042464A (ko) 망간 및 그래핀을 사용하는 금속 배선을 위한 배리어 구성
KR20070033175A (ko) 반도체 장치의 금속 배선 형성 방법
TW202308036A (zh) 形成互連結構的方法
KR100652302B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100874432B1 (ko) 웨이퍼 세정방법 및 이를 이용한 반도체 소자의 금속배선형성방법
KR100637100B1 (ko) 반도체 소자의 메탈 플러그 형성 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070529

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20070618

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070618

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070828

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071023

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20071106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071128

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080110

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080229