JP2004252831A - Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法 - Google Patents

Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法 Download PDF

Info

Publication number
JP2004252831A
JP2004252831A JP2003044029A JP2003044029A JP2004252831A JP 2004252831 A JP2004252831 A JP 2004252831A JP 2003044029 A JP2003044029 A JP 2003044029A JP 2003044029 A JP2003044029 A JP 2003044029A JP 2004252831 A JP2004252831 A JP 2004252831A
Authority
JP
Japan
Prior art keywords
delay
lsi
statistical
variation
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003044029A
Other languages
English (en)
Inventor
Hirokazu Yonezawa
浩和 米澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003044029A priority Critical patent/JP2004252831A/ja
Priority to US10/756,471 priority patent/US7239997B2/en
Publication of JP2004252831A publication Critical patent/JP2004252831A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】LSIにおける遅延のばらつきを設計段階において推定し、その推定結果をLSIの遅延シミュレーションに容易に且つ確実に反映することができるようにする。
【解決手段】統計的遅延シミュレーション装置10は、LSIを構成する回路セルの回路動作を模擬する回路シミュレータ11と、該回路シミュレータ11を駆動し、且つプロセスパラメータ101等に基づいて各回路セルの遅延ばらつきの所定の動作条件に対する依存性が記述された統計的遅延ライブラリ104を生成する統計的遅延ライブラリ生成装置12と、各回路セルの遅延量を計算して、その遅延データを含む統計的LSI遅延情報ファイル107を生成する遅延計算機13と、統計的LSI遅延情報ファイル107のデータに基づいて、LSIの遅延ばらつきを含む動作をシミュレーションして統計的LSI遅延解析結果ファイル108を生成する静的タイミングアナライザ15とを備えている。
【選択図】 図1

Description

【0001】
【発明の属する技術分野】
本発明は、製造上の変動要因等に起因するLSIの遅延のばらつきを推定し、LSIの遅延シミュレーションに反映させる統計的遅延シミュレーション装置及びシミュレーション方法に関する。
【0002】
【従来の技術】
製造技術の発達と共にトランジスタの微細化や集積度が急速に向上しているため、CMIS半導体集積回路装置(以下、LSIと略称する。)は、近年さまざまな機能を1つのチップ上に実現するに至っている。
【0003】
ところで、通常、LSIは製造時に設計余裕、すなわち設計マージンを設けて開発される。設計マージンを考慮すべきファクタは種々あり、例えば回路を構成するトランジスタの電気的特性が製造上の原因でばらついたり、また、回路の動作環境である電圧又は温度が変化することによってもその電気的特性がばらついたりするため、設計マージンは通常的に考慮しなければならない。
【0004】
図22に示すように、一般にLSIは、フリップフロップ201同士の間に、n段(但し、nは1以上の整数である。)の回路セル202を含む信号パス200に分解することができる。
【0005】
回路セル202は、一般にはインバータ、NAND又はNOR等の論理回路からなり、これら論理回路同士は互いに配線により接続されている。このとき、信号パス200に含まれる一連の回路セル202を信号が伝搬する際に生じる遅延が、クロック信号203のサイクルタイム(通常、動作周波数若しくはクロック周波数の逆数、又はそれの整数倍の周期)により決定される所定の期間内に収まるように設計することが求められる。この関係を式(1)に示す。
【0006】
cycle ≧ Σt +K (但し、i=1,2,…,nの整数) …(1)
ここで、tcycle は設計目標特性であるサイクルタイム、Σt はフリップフロップ201の間に位置する回路セルiの入出力端子間の信号伝搬遅延t の総和、Kはフリップフロップ201のセットアップ時間及びクロック信号203のスキュー等の和である。
【0007】
設計マージンは、元来、ディレイティングファクタ(derating factor)と呼ばれる種々の遅延の変動要因をそれぞれ係数化して表わされている。例えば、それは、以下の式(2)に示すように、標準的(典型的)な遅延値から最悪条件の遅延値を簡易的に見積もって省力設計する方法である。ここで、tworst は各信号パス遅延の最悪値、ttyp は各信号パス遅延の標準値、Pは製造ばらつきによる遅延変動係数、Vは電源電圧幅による遅延変動係数、及びTは温度幅による遅延変動係数である。この場合、まずLSIの全信号パス遅延の標準値を求めておき、それにディレイティングファクタP、V及びTをそれぞれ乗じることにより、設計マージンの最悪値を簡易的に求める。ここで、式(2)における右辺は式(1)におけるΣt に相当する。
【0008】
図23にディレイティングファクタの一例を示す。これらを式(2)に当てはめると、最悪(worst)の遅延値tworst は、標準(typ)の遅延値ttyp を用いて式(3)で求められる。また、式(4)から最良(best)の遅延値tbestについても同様に求めることができ、best、worstの各条件におけるLSIの動作を設計時に確認することができる。
【0009】
worst =ttyp ×P×V×T …(2)
worst =ttyp ×1.4×1.15×1.1 …(3)
best =ttyp ×0.6×0.85×0.9 …(4)
LSIの品質と性能とは互いにトレードオフの関係にあり、LSIのマージンを過剰に設定することは安全ではあるが設計にむだが多くなり、LSIの性能(例えば動作周波数)を低下させる。
【0010】
一方、マージンが小さ過ぎると、品質が不足して誤動作を起こすリスクが生じる。従って、過不足がない適切なマージンを評価でき、それに基づいた設計を行なえる設計環境を用意しなければ、最近のデジタルシグナルプロセッサのような性能及び品質が共に揃ったLSIを効率的に開発することは困難となる。
【0011】
また、図23に示すworst条件を用いるような、固定的なディレイティングファクタをすべてのLSIの信号パスに適用して行なう遅延計算により設計マージンを設ける方法では、信号パスごとの最適マージンを評価して且つ設定できないことから、全体としては過剰マージンに陥り易い。
【0012】
そこで、ディレイティングファクタを用いずにLSIの遅延を統計的に計算する方法が知られている(特許文献1参照。)。これは、LSIに含まれる回路セルの遅延のばらつき、すなわち遅延の確率分布をヒストグラムで表わし、LSI中の信号パスに沿った回路セルごとの遅延の確率分布を、求められたヒストグラム間の演算により求め、さらに、求まった信号パスの出力遅延のばらつき(確率分布)によって、設計の確からしさを評価するという方法である。この方法によると、信号パスに応じた遅延のばらつきを個別に評価できることから、ディレイティングファクタを用いる場合と比べて、設計マージンを過不足なく設計することができる。
【0013】
【特許文献1】
米国特許第5383167号明細書
【0014】
【発明が解決しようとする課題】
しかしながら、特許文献2に示される従来のLSIの統計的遅延シミュレーション方法は、回路セルの遅延ばらつきをヒストグラムを用いて表現しているため、任意の確率分布(形状)を与えることは可能であるものの、信号パスの遅延のばらつきを、確率分布間でヒストグラムを用いた多数回の重畳積分として演算しなければならず、該演算が複雑になるという問題を有している。
【0015】
また、回路セルの遅延のばらつきを回路セルごとに固定値としており、各回路セルのLSI中における接続状況や配置状況による変化も考慮されていないため、最終的に得られる演算結果の信頼性が低いという問題がある。
【0016】
また、LSIの開発の初期段階では、遅延ばらつきの上下限の範囲をその概略でも把握したいという要求がある。これに対し、前記従来の方法では遅延ばらつきの上下限の範囲を簡単には把握できないという問題がある。
【0017】
また、前記従来の方法は、遅延のばらつきを、図23に示したように、typ値を中心としてbest側及びworst側が対称な分布を想定している。実際には、typ値を中心に非対称な場合もあり、best側又はworst側での変動量も異なるが、この非対称な現象は、前記のヒストグラムを用いた複雑な計算による以外に簡単に扱うことができない。
【0018】
本発明は、前記従来の問題に鑑み、LSIにおける遅延のばらつきを設計段階において推定し、その推定結果をLSIの遅延シミュレーションに容易に且つ確実に反映することができるようにすることを目的とする。
【0019】
【課題を解決するための手段】
前記の目的を達成するため、本発明に係るLSIの統計的遅延シミュレーション装置は、複数の回路セルを含むLSIの統計的遅延を設計段階において解析するシミュレーション装置を対象とし、各回路セルにおける特性のばらつきの動作条件に対する依存性を表わす統計的特性ライブラリを参照しながら、各回路セルの遅延のばらつきを、LSIの動作時における回路セルごとの動作条件の値に基づいて推定するセル遅延ばらつき推定手段を備え、セル遅延ばらつき推定手段により推定されたLSIの遅延のばらつきを含む動作をシミュレーションする。
【0020】
本発明のLSIの統計的遅延シミュレーション装置によると、各回路セルの遅延のばらつきを、LSIの動作時における回路セルごとの動作条件の値に基づいて推定するセル遅延ばらつき推定手段を備えているため、回路セルの遅延ばらつきが該回路セルにおける動作条件を考慮して求められ、さらに信号パス又は動作に応じた信号の流れでLSIの遅延ばらつきをシミュレーションできるようになる。このため、タイミング歩留まりの予測性が高まるので、回路セルに固有の遅延ばらつきを与えて解析する従来の方法と比べ、シミュレーションの信頼性が向上し、その結果、LSI設計における設計マージンの過不足を信号パスごとに直接に評価できるようになる。
【0021】
本発明のLSIの統計的遅延シミュレーション装置は、各回路セルは少なくとも1つのトランジスタを含み、トランジスタごとの動作特性のばらつき度合をシミュレーションにより求める回路シミュレータと、トランジスタごとに求められた動作特性のばらつき度合の動作条件に対する依存性を求めることにより統計的特性ライブラリを生成する統計的特性ライブラリ生成装置とをさらに備えていることが好ましい。
【0022】
本発明のLSIの統計的遅延シミュレーション装置は、各回路セルの遅延ばらつきを推定する遅延計算機をさらに備え、遅延計算機によって推定された各回路セルの遅延のばらつきに基づいて、LSIの動作をシミュレーションすることが好ましい。
【0023】
この場合に、セル遅延ばらつき推定手段は、遅延計算機に設けられていることが好ましい。
【0024】
本発明のLSIの統計的遅延シミュレーション装置において、各回路セルの特性のばらつきは、回路セルごとの入力端子と出力端子との間の信号伝搬遅延のばらつきであることが好ましい。
【0025】
この場合に、動作条件は、各回路セルにおける入力信号の立上がり又は立下がり時間であることが好ましい。
【0026】
また、この場合に、動作条件は、各回路セルにおける出力負荷容量であることが好ましい。
【0027】
本発明のLSIの統計的遅延シミュレーション装置において、統計的特性ライブラリは、各回路セルにおける特性のばらつきの動作条件に対する依存性がデータテーブル形式で表わされていることが好ましい。
【0028】
また、本発明のLSIの統計的遅延シミュレーション装置において、統計的特性ライブラリは、各回路セルにおける特性のばらつきの動作条件に対する依存性が関数で表わされていることが好ましい。
【0029】
また、本発明のLSIの統計的遅延シミュレーション装置において、統計的特性ライブラリは、各回路セルにおける特性のばらつきを該回路セルの特定の条件における特性から推定することが好ましい。
【0030】
また、本発明のLSIの統計的遅延シミュレーション装置において、統計的特性ライブラリは、各回路セルにおける特性のばらつきが正規分布で表わされていることが好ましい。
【0031】
この場合に、統計的特性ライブラリは、正規分布の標準偏差が各回路セルの平均特性に比例して表わされていることが好ましい。
【0032】
さらにこの場合に、統計的特性ライブラリは、正規分布の標準偏差が各回路セルの特性に対し複数の比例関係で表わされていることが好ましい。
【0033】
各回路セルにおける特性のばらつきが正規分布で表わされている場合に、統計的特性ライブラリは、正規分布の標準偏差が各回路セルの入力信号の立上がり又は立下がり時間と出力負荷容量とから求められていることが好ましい。
【0034】
また、各回路セルにおける特性のばらつきが正規分布で表わされている場合に、統計的特性ライブラリは、正規分布の標準偏差が遅延の平均値で正規化されていることが好ましい。
【0035】
本発明のLSIの統計的遅延シミュレーション装置は、LSIの遅延のばらつきからタイミング歩留まりを推定するタイミング歩留まり推定手段をさらに備えていることが好ましい。
【0036】
また、本発明のLSIの統計的遅延シミュレーション装置は、LSIにおける遅延のばらつきの範囲を少なくとも2つに分割し、分割された範囲ごとに統計的遅延シミュレーションを行ない、そのシミュレーションの結果から分割された範囲ごとにタイミング歩留まりを計算し、該分割された範囲ごとのタイミング歩留まりを考慮してLSIのタイミング歩留まりとすることが好ましい。
【0037】
本発明に係るLSIの統計的遅延シミュレーション方法は、複数の回路セルを含むLSIの統計的遅延を設計段階において解析するシミュレーション方法を対称とし、各回路セルにおける特性のばらつきの動作条件に対する依存性を表わす統計的特性ライブラリを生成する工程と、LSIの動作時における回路セルごとの動作条件の値に基づいて特性ばらつきを推定する工程と、推定されたLSIの遅延のばらつきを含む動作をシミュレーションする工程とを備えている。
【0038】
本発明のLSIの統計的遅延シミュレーション方法は、LSIの遅延ばらつきからタイミング歩留まりを推定するタイミング歩留まり推定工程をさらに備えていることが好ましい。
【0039】
【発明の実施の形態】
(第1の実施形態)
本発明の実施形態について図面を参照しながら説明する。
【0040】
図1は本発明の第1の実施形態に係るLSIの統計的遅延シミュレーション装置の構成を示すブロック図である。
【0041】
図1に示すように、本実施形態に係るLSIの統計的遅延シミュレーション装置10は、解析対象とするLSIを構成する回路セルの回路動作をシミュレーションする回路シミュレータ11と、該回路シミュレータ11を駆動することにより統計的遅延ライブラリ104を生成する統計的遅延ライブラリ生成装置12と、対象とするLSIにおける各回路セルの遅延量を計算して、各回路セルの遅延データを含む統計的LSI遅延情報ファイル107を生成する遅延計算機13と、統計的LSI遅延情報ファイル107のデータに基づいて、対象とするLSIの遅延ばらつきを含む動作をシミュレーションして統計的LSI遅延解析結果ファイル108を生成する静的タイミングアナライザ15とを備えている。ここで、回路シミュレータ11は、例えば米国Avant! Corporation社のStar−Hspice等を用いる。
【0042】
統計的遅延ライブラリ生成装置12は、製造条件を含むプロセスパラメータ101と、トランジスタレベルの接続情報を含むセルネットリスト102と、回路シミュレータ11の起動に必要な諸条件を含むライブラリ生成条件103とに基づいて、各回路セルの遅延ばらつきの所定の動作条件に対する依存性が記述された統計的遅延ライブラリ104を生成する。
【0043】
遅延計算機13は、統計的遅延ライブラリ104と、対象とするLSIを構成する各回路セルの接続情報が記述されたLSIネットリスト105とを読み込み、該LSIを構成するすべての回路セルの遅延を計算する。
【0044】
ここで、LSIネットリスト105は、DSPF(Detailed Standard Parasitic File、例えばCadence Design Systems,Inc.の”Cadence Standard Parasitic Format (1993) PP.8−20”に記載)等の書式で記述される。なお、精度をより高めるには、LSIネットリスト105に、回路セル間の配線の寄生容量及び寄生抵抗等の寄生素子情報も含めて記述されていることが望ましい。
【0045】
第1の実施形態の特徴として、遅延計算機13は、統計的遅延ライブラリ104を参照しながら、対象とするLSIを構成する各回路セルの遅延のばらつき度合を推定してセル遅延ばらつき度合ファイル106を生成するセル遅延ばらつき推定部14を有している。
【0046】
LSIネットリスト105を参照する静的タイミングアナライザ15は、従来の静的タイミングアナライザの機能に加えて、正規分布を仮定した遅延の統計的計算機能を有している。従来の静的タイミングアナライザは、一般には統計解析機能を持たず、例えば米国Synopsys,Inc.社のPrimeTime等が知られている。
【0047】
以下、前記のように構成されたLSIの統計的遅延シミュレーション装置の動作について図面を参照しながら説明する。
【0048】
まず、LSIを構成する回路セルの一例と、統計的遅延ライブラリの生成に必要な情報であるプロセスパラメータ101、セルネットリスト102、及びライブラリ生成条件103を説明する。
【0049】
図2は回路セルの一例であって、論理レベルのインバータを表わし、また、図3はトランジスタレベルのインバータを表わしており、すなわち、図3はPMOSトランジスタ及びNMOSトランジスタからなるCMOS回路を表わしている。
【0050】
図2及び図3に示すように、回路セルは、通常、入力端子IN、出力端子OUT、電源端子VDD及び接地端子GNDを有しており、さらには各端子をそれぞれ複数有する回路セルもある。
【0051】
プロセスパラメータ101には、SPICE(Simulation Program with Integrated Circuit Emphasis)パラメータ、ばらつきを表わすパラメータ、及び製造上のパラメータ等が格納されている。なお、SPICEフォーマット及びSPICEパラメータは、例えば米国Avant! Corporation社発行の”Star−Hspice Manual(Release 2000.2,May 2000)”にその詳細が記載されている。
【0052】
セルネットリスト102には、種々の回路セルのネットリストが格納されている。ASIC(Application Specific Integrated Circuit)のスタンダードセルライブラリに通常用意されている回路セルには、インバータの他に、NANDゲート、NORゲート及びフリップフロップ等がある。また、これらの汎用の回路セル以外にも、LSIを構成するために必要な回路セルを作製し、作製した回路セルを統計的遅延ライブラリ104の生成対象とすることもできる。セルネットリスト102に格納されているネットリストは、通常、SPICEフォーマットによって記述されており、各回路セルを構成するトランジスタのサイズ、接続に関する情報、並びに受動素子及び寄生素子の値等が含まれている。
【0053】
ライブラリ生成条件103には、統計的遅延ライブラリ生成装置12が回路シミュレータ11を起動して解析するために必要な、例えば電圧条件及び温度条件、並びに回路シミュレーションジョブ投入のための条件等の各種情報が格納されている。
【0054】
(統計的遅延ライブラリ生成工程)
以下、第1の実施形態に係る統計的遅延シミュレーション装置の動作を説明する。
【0055】
まず、統計的遅延ライブラリ生成工程において、統計的遅延ライブラリ生成装置12は、ライブラリの生成対象とする回路セルの種類を決め、その後、決められた回路セルのネットリストをセルネットリスト102から読み込むと共に、プロセスパラメータ101を読み込む。続いて、回路シミュレータ11を起動して、対象とする回路セルの入出力端子間の伝搬遅延のばらつき度合の所定の動作条件に対する依存性を、トランジスタの特性ばらつきとして例えばモンテカルロ法によりシミュレーションすることによって推定する。
【0056】
図4は統計的遅延ライブラリ生成装置12のシミュレーション法にモンテカルロ法を用いる場合の具体的な構成例を示している。
【0057】
図4に示すように、第1の実施形態に係る統計的遅延ライブラリ生成装置12は、ライブラリ生成条件103に基づいて動作するセル動作条件制御部12aと、セルネットリスト102に基づいて動作し、遅延分布ファイル12cを生成するモンテカルロ解析制御部12bと、遅延分布ファイル12cを参照しながら、後述する遅延の平均値μ及び標準偏差値σを計算するμ−σ計算部12dとから構成されている。なお、モンテカルロ法は、周知のように、特定の確率分布に応じて発生させた乱数を入力変数に用いて、個々の乱数ごとに通常の解析を繰り返し実施して、実施後に解析結果を総合することにより、出力値の確率分布(遅延分布ファイル12c)を得られるという統計解析手法である。
【0058】
第1の実施形態においては、所定の動作条件として、回路セルの出力負荷容量と、入力信号の立上がり又は立下がり時間とを用いる。また、伝搬遅延のばらつきを正規分布と仮定して表わすことにする。
【0059】
図5は信号パスにおいて、正規分布を持つ入力信号INが正規分布の応答を持つインバータ50に入力されたときに、正規分布を持つ出力信号OUTが出力される様子を模式的に示している。ここで、時間tの関数であるこれらの正規分布f(t)は、平均値をμとし、標準偏差をσとすると、一般には[数5]に示すように表わされ、さらには関数記号N(μ,σ )として表わされる。また、符号53は前段回路セル51とインバータ50との間における抵抗成分及び容量成分からなる第1の配線寄生素子を表わし、同様に、符号54はインバータ50と後段回路セル52との間における抵抗成分及び容量成分からなる第2の配線寄生素子を表わしている。
【0060】
【数5】
Figure 2004252831
【0061】
統計的遅延ライブラリ生成装置12は、2つの動作条件(出力負荷容量、入力信号の立上がり又は立下がり)の値をそれぞれ所定の値に設定し、既に読み込んだ回路セルにおけるネットリスト情報とプロセスパラメータ情報と共に回路シミュレータ11に転送する。
【0062】
これらの情報が転送された回路シミュレータ11は、回路セルの各トランジスタの特性ばらつき度合を、SPICEパラメータ及びネットリスト中における値をそれぞれ変動させながら求める。例えば、CMOSトランジスタの場合であれば、ゲート長、ゲート酸化膜の膜厚、又はしきい値電圧値等のパラメータをそれぞれ変動させる。
【0063】
次に、図4に示すように、統計的遅延ライブラリ生成装置12は、回路シミュレータ11から回路セルにおける各トランジスタの特性ばらつきの度合を受け、μ−σ計算部12dにおいて、回路セルの遅延ばらつき分布である遅延の正規分布の平均値μと標準偏差値σとを求める。
【0064】
さらに、統計的遅延ライブラリ生成装置12は、セル動作条件制御部12aにおいて、2つの動作条件の値を適当な範囲で変化させながら、また、被解析回路セルの入出力端子の組み合わせ、又は入出力信号の遷移方向(立上がり又は立下がり)の組み合わせを変えながら、上記のような平均値μと標準偏差値σとを求める動作を繰り返し実施することにより、回路セルの遅延ばらつき分布の2つの動作条件に対する依存性を求める。
【0065】
遅延の平均値μと標準偏差値σとの動作条件依存性は、関数形式又はテーブル形式により表わされ、さらに、回路セルの出力駆動能力情報、回路セルの入力容量情報、及び論理情報等と共に統計的遅延ライブラリ104に出力される。ここで、回路セルの出力駆動能力情報は、例えば出力トランジスタの電流能力又は出力抵抗等で表わされる。
【0066】
以上のような動作をセルネットリスト102に格納されている必要な回路セルについて順次行なうことにより、回路セルの特性ばらつきの度合の所定の動作条件に対する依存性を表わす統計的遅延ライブラリ104が生成される。
【0067】
このように、第1の実施形態に係る統計的遅延ライブラリ104は、回路セルの特性ばらつきの度合として回路セルの入力端子と出力端子との間の信号伝搬遅延のばらつき度合を用いると共に、所定の動作条件として回路セルの入力信号の立上がり又は立下がり時間と出力負荷容量とを用いている。
【0068】
図6及び図7はそれぞれ第1の実施形態に係る統計的遅延ライブラリ104が有する回路セルの遅延ばらつきとして、一回路セルの一入出力端子間における一遷移方向の遅延分布の平均値μと標準偏差σとの動作条件依存性をそれぞれテーブル化し、さらにグラフ表示した例を示している。図6及び図7に示すように、2つの動作条件、ここでは入力信号の立上り時間及び出力負荷容量の各値に対して、遅延平均値μと遅延標準偏差値σとが表わされている。
【0069】
なお、統計的遅延ライブラリ104において、図6及び図7に示すような情報を関数として表わすことも可能である。関数として表わす場合には、[数6]及び[数7]に示すように、あらかじめ未知数を含む関数fμ 、fσ をそれぞれ定義しておき、定義した関数が、例えば図6及び図7のテーブル形式で表わした各動作条件と遅延平均値と遅延標準偏差値との関係にそれぞれ合致するように、カーブフィッティングによりその未知数を決定すればよい。
【0070】
【数6】
Figure 2004252831
【0071】
【数7】
Figure 2004252831
【0072】
ただし、trisefallは入力信号の立上がり及び(又は)立下がり時間を表わし、Cloadは出力負荷容量を表わす。
【0073】
(セル遅延ばらつき推定工程)
次に、セル遅延ばらつき推定工程において、遅延計算機13に組み込まれたセル遅延ばらつき推定部14は、LSIを構成する回路セルの中から、推定対象とする回路セルを決定し、決定された回路セルについて該LSIの動作条件である出力負荷容量と入力信号の立上がり又は立下がり時間とを求める。
【0074】
ここでは、一例として、図5に示す1つの信号パスに含まれる回路セルであるインバータ50における入出力端子間の伝搬遅延を計算する。
【0075】
図5に示す信号パスにおける接続情報は、配線の寄生素子情報と共にLSIネットリスト105に記述されている。
【0076】
まず、インバータ50の出力端子と接続されている負荷容量を求める。この場合、第2の配線寄生素子54の配線容量と、その後段に接続されている後段回路セル52の入力容量との和が出力負荷容量となる。ここで、第2の配線寄生素子54に関する情報はLSIネットリスト105を参照し、後段回路セル52における入力容量に関する情報は統計的遅延ライブラリ104を参照する。
【0077】
次に、インバータ50における入力端子に入力される入力信号の立上がり又は立下がり時間を、前段回路セル51が持つ出力駆動能力、第1の配線寄生素子53及びインバータ50が持つ入力容量等の関係に基づいて求める。ここで、前段回路セル51における出力駆動能力は、統計的遅延ライブラリ104を参照して得られる。このようにして、インバータ50に関する出力負荷容量及び入力信号の立上がり又は立下がり時間が求まる。
【0078】
続いて、求められたインバータ50に関する出力負荷容量及び入力信号の立上がり又は立下がり時間を基にして、統計的遅延ライブラリ104を参照しながら、インバータ50の入出力端子間の伝搬遅延のばらつきである、遅延平均μと遅延標準偏差σとの各値を計算する。ここで、統計的遅延ライブラリ104において、回路セルの遅延ばらつき度合の動作条件依存性が関数によって表わされている場合には、抽出した動作条件の値を関数に代入して、対象とする回路セルの遅延ばらつきを求めればよい。
【0079】
一方、図6及び図7に示したように、統計的遅延ライブラリ104において、回路セルの遅延ばらつき度合の動作条件依存性がテーブル形式によって表わされている場合には、抽出した動作条件の値に応じて適当な補間を行なうことにより、対象とする回路セルの遅延ばらつき度合を求めればよい。
【0080】
このような遅延平均値μと遅延標準偏差値σとを求める処理を、LSIを構成するすべての回路セルについて行ない、求めた結果をセル遅延ばらつき度合ファイル106に格納する。さらに、遅延計算機13は、LSIを構成するすべての回路セルに対して、セル遅延ばらつき度合ファイル106に基づいて統計的遅延情報を統計的LSI遅延情報ファイル107に出力する。
【0081】
統計的LSI遅延情報ファイル107に格納される統計的LSI遅延情報は、従来の遅延情報の書式、例えばSDF(Standard Delay Format)、一例としてOpen Verilog International発行の”Standard Delay Format Specification Version 3.0, 1995”に記載された書式の一部を流用する。すなわち、従来のSDFは、固定された特定の遅延値は記述できるものの、遅延の分布を記述することができない。
【0082】
そこで、第1の実施形態においては、遅延の正規分布の平均値μについてはSDFで記述し、標準偏差値σについてはSDFファイルにおける各回路セルの平均値μとの対応が取れるように、新たな追加ファイルとして記述する方法を採る。
【0083】
図8にインバータ(INV)回路セルX15における出力値Oが、回路セルX16における入力値Iに配線を介して接続されている場合の統計的LSI遅延情報の記述例を示す。
【0084】
図8に示すように、SDFファイル中のIOPATH部分には、回路セル遅延の平均値μとして、信号の立上がり及び立下がりの両方の値0.362及び0.455がそれぞれ記述される。同様に、INTERCONNECT部分には、配線遅延における平均値として、立上がり及び立下がりの両方の値である0.002が記述される。
【0085】
追加ファイルには、回路セルX15の遅延標準偏差σの値を、SDFファイル中の回路セルX15と対応が付くように、その遅延標準偏差値σとして、立上がり及び立下がりの両方の値0.029及び0.036がそれぞれ記述される。
【0086】
このように、第1の実施形態においては、SDFファイルと追加ファイルとから統計的LSI遅延情報ファイル107を構成する。
【0087】
(タイミングシミュレーション工程)
次に、タイミングシミュレーション工程において、静的タイミングアナライザ15は、LSIネットリスト105及び統計的LSI遅延情報ファイル107を読み込む。シミュレーションの対象を、図22に示す回路における信号パスとし、信号パスの入力側から出力側までを各回路セル201、202、…を信号が順次伝搬する。ここでは、遅延の分布を正規分布として扱うため、例えば、回路セル202がn段からなる場合に、信号パスの遅延平均μpathは[数8]で求められ、信号パスの遅延標準偏差σpathは[数9]で求められる。
【0088】
このようにして、遅延ばらつきを考慮したLSIの静的なタイミング解析をすべての信号パスについて実行し、実行した結果を統計的LSI遅延解析結果ファイル108に出力する。
【0089】
【数8】
Figure 2004252831
【0090】
【数9】
Figure 2004252831
【0091】
但し、iは、i=1,2,…,nであり、nは1以上の整数である。
【0092】
以上説明したように、第1の実施形態によると、統計的遅延ライブラリ104を参照しながら、シミュレーション対象とするLSIを構成する複数の回路セルの遅延ばらつき度合を、各回路セルの動作条件に応じて求める。続いて、求めた回路セルごとの遅延ばらつき度合に基づいて、LSIにおける各回路セルの遅延平均と遅延標準偏差とを正規分布を仮定した上で推定する。この推定結果を用いてLSIの動作タイミングを解析するため、LSIの実動作における信号パスの遅延ばらつき現象を考慮したシミュレーションを簡易に且つ精度良く行なうことができる。従って、LSIの設計工程において、遅延ばらつきに対する過剰な仕様を避けることができると共に、設計工数を大幅に削減することができる。
【0093】
なお、第1の実施形態においては、回路セルの具体例として、入力端子及び出力端子が共に1つのインバータを挙げたが、これに限られず、複数の入力端子又は複数の出力端子を持つ回路セルについても同様に遅延のばらつき度合を推定することができる。なお、入出力端子の少なくとも一方が複数の場合には、各入力端子と各出力端子との間のそれぞれの遅延ばらつきについて、すなわち入力端子と出力端子との組み合わせの数分の遅延ばらつきについて各ばらつき度合を推定する必要がある。
【0094】
また、統計的LSI遅延情報ファイル107において、遅延の平均値μをSDFファイルに格納し、標準偏差値σを追加ファイルに格納するというように、2つのファイルに格納する例を挙げたが、これに限らず、遅延計算機13が両データを1つのファイルに格納するようにしてもよい。
【0095】
また、回路セルにおける出力負荷容量を、回路セルの出力側の配線における配線容量と、該配線に接続されている後段回路セルの入力容量の和としたが、これに代えて、これらの値の等価容量を用いてもよい。
【0096】
また、回路シミュレータ11には、Star−Hspiceを用いる例を挙げたが、遅延のばらつきを考慮しながら回路動作のシミュレーションが可能な装置であれば良く、例えばTCAD(Technology CAD)のデバイスシミュレータを用いることができる。
【0097】
また、第1の実施形態に係る統計的遅延ライブラリ生成装置12は、回路セルのトランジスタの特性ばらつきをシミュレーションする方法にモンテカルロ法を用いたが、モンテカルロ法には限られない。
【0098】
例えば、本実施形態のように、遅延量を正規分布として扱う場合には、[数10]に示すように、ばらつきを与える変数P に対する回路セル特性fの感度を計算し、[数10]と各変数P の標準偏差σ とから回路セル特性の標準偏差を[数11]に示すようにして求める方法を用いても良い。
【0099】
【数10】
Figure 2004252831
【0100】
【数11】
Figure 2004252831
【0101】
図9に統計的遅延ライブラリ生成装置12におけるモンテカルロ解析制御部12bに代えて、[数10]に示す感度成分を算出する感度解析制御部12eを用いる一変形例を示す。この変形例は、回路セルごとに求めた感度成分を感度結果ファイル12fに出力する。このようにすると、モンテカルロ法と比べて回路シミュレーション時間を大幅に短縮することができる。
【0102】
さらに、第1の実施形態においては、回路セルの遅延ばらつきのみを扱う構成としたが、これに限られず、配線寄生効果のばらつきについても同時に扱える構成としてもよい。
【0103】
具体的には、例えば、図1において配線遅延ばらつき情報を別途用意し、その配線遅延ばらつき情報を遅延計算機13に伝える。遅延計算機13は各配線遅延について配線遅延平均値μwiと配線遅延標準偏差値σwiとを計算し、SDFファイルにおけるINTERCONNECT成分に配線遅延平均値μwiを追加して記述し、また、追加ファイルにSDFファイルにおける配線との対応がつくように配線遅延標準偏差値σwiを追加し記述して、統計的LSI遅延情報ファイル107に出力する。
【0104】
この場合の静的タイミングアナライザ15は、図22に示す信号パスの入力側から出力側に向かって、信号が各回路セル201、202を通過する際の遅延分布計算と、配線を通過する際の遅延分布計算とを交互に且つ連続して行ない、統計的LSI遅延解析結果ファイル108として出力する。これにより、信号パス全体の遅延平均μpathは[数12]として得られ、遅延標準偏差σpathは[数13]として得られる。ここで、整数mは信号パス上の回路セル間の配線数であって、図22の場合には、m=n+1となる。
【0105】
【数12】
Figure 2004252831
【0106】
【数13】
Figure 2004252831
【0107】
また、第1の実施形態においては、回路セルの動作条件として、出力容量と、入力信号の立上がり又は立下がり時間とを用いたが、動作条件はこれらに限られず、例えば、電源電圧又は温度等を含めても良い。
【0108】
また、図1に示した統計的遅延ライブラリ生成装置12、遅延計算機13及び静的タイミングアナライザ15がそれぞれ実行する処理は、ソフトウェア(プログラム)を用いて自動化してもよい。この場合に、グラフィカルユーザインターフェース(GUI)を備えた形態でもよい。
【0109】
(第2の実施形態)
以下、本発明の第2の実施形態について図面を参照しながら説明する。
【0110】
図10は本発明の第2の実施形態に係るLSIの統計的遅延シミュレーション装置の構成を示すブロック図である。図10において、図1に示す構成要素と同一の構成要素には同一の符号を付すことにより説明を省略する。
【0111】
第2の実施形態に係る統計的遅延ライブラリ生成装置12Aは、回路セルの遅延ばらつきの分布を正規分布と仮定しながらも、第1の実施形態のように回路シミュレーションによって遅延平均値μと遅延標準偏差値σとを直接に抽出するのではなく、遅延平均値μとそれにより正規化された遅延標準偏差値σ’(但し、σ’=σ/μである。)を抽出する点が第1の実施形態と異なる。
【0112】
統計的遅延ライブラリ生成装置12Aは、遅延ばらつき度合の動作条件依存性については、例えばテーブル形式を用いた場合には、図11に示すような結果を統計的遅延ライブラリ104に出力する。
【0113】
次に、遅延計算機13を構成するセル遅延ばらつき推定部14は、統計的遅延ライブラリ104を参照しながら、遅延平均値μと正規化遅延標準偏差値σ’とを各回路セルの動作条件により計算する。
【0114】
ここで、本実施形態に係るセル遅延ばらつき推定部14は、さらに、正規化遅延標準偏差値σ’と遅延平均値μとから遅延標準偏差値σを(μ×σ’)として求める。従って、これ以降の処理は第1の実施形態と同一となる。
【0115】
第2の実施形態が扱う正規化遅延標準偏差値σ’は、遅延平均値μが与えられたときの遅延標準偏差値σを求める比としての役割があり、この正規化遅延標準偏差値σ’を求めておけば、かりに遅延平均値μが異なる場合であっても、この比の値σ’を乗じて簡易に遅延標準偏差値σを推定することができる。
【0116】
すなわち、[数14]及び[数15]に示すように、一の製造条件Aにおける正規化遅延標準偏差値σ’を求めておき、他の製造条件Bが与えられたときに、該製造条件Bにおける正規化遅延標準偏差値σ’の計算を省略して、該製造条件Bにおける遅延標準偏差値σを求めるときに、先の製造条件Aにおける正規化遅延標準偏差値σ’を用いることができる。従って、製造条件Aと製造条件Bとの間に大きな差がない場合には、製造条件Aとは異なる他の製造条件Bが与えられた場合であっても取り扱いが容易となる。ここで、製造条件Aにおける遅延平均μは、従来の方法又は装置により求められた値であってもよい。
【0117】
【数14】
Figure 2004252831
【0118】
【数15】
Figure 2004252831
【0119】
図12に製造条件が異なる場合の遅延シミュレーション装置の構成例を示す。
【0120】
まず、製造条件Bにおける遅延平均μを含む遅延ライブラリ120を用意する。
【0121】
第2の実施形態に係る遅延計算機13Aは、新たに遅延ライブラリ120を読み込み、統計的遅延ライブラリ104は製造条件Aにおける正規化遅延標準偏差値σ’を含む統計的遅延ライブラリ104を生成する。さらに、遅延計算機13Aは[数14]及び[数15]の関係式から製造条件Bにおける遅延平均値μを求める。
【0122】
このように、第2の実施形態によると、第1の実施形態と同様の効果を得られる上に、正規化遅延標準偏差値σ’は、遅延平均値μに対するばらつき分布の広がりを表わしており、正規化遅延標準偏差値σ’の大小から、各回路セルの遅延分布の差の評価や、製造条件の違いによる遅延分布の差の評価、さらにはLSIにおける特にばらつきが大きい領域を特定する処理等にも用いることができるため、LSIの最適化設計に有用な情報となる。
【0123】
(第3の実施形態)
以下、本発明の第3の実施形態について図面を参照しながら説明する。
【0124】
図13は本発明の第3の実施形態に係るLSIの統計的遅延シミュレーション装置の構成を示すブロック図である。図13において、図1に示す構成要素と同一の構成要素には同一の符号を付すことにより説明を省略する。
【0125】
第3の実施形態に係る統計的遅延ライブラリ生成装置12Bは、回路セルの遅延ばらつきの分布を正規分布と仮定しながらも、第1の実施形態のように回路シミュレーションによって遅延平均値μと遅延標準偏差値σとを直接に抽出するのではなく、遅延平均値μを求め、求めた遅延平均値μから遅延標準偏差値σを求める点が第1の実施形態と異なる。
【0126】
図14は第3の実施形態に係る統計的遅延ライブラリ生成装置12Bの具体的な構成例を示している。
【0127】
以下、図14を参照しながら第3の実施形態に係る統計的遅延シミュレーション装置の動作を説明する。
【0128】
まず、ライブラリ生成条件103に遅延平均値μと遅延標準偏差値σとの間の関係を関数等により定義しておく。例えば、ライブラリ生成条件103として、遅延平均μと遅延標準偏差σとの間の関係を表わす任意の関数fを[数16]に示すように、比例係数Kを有する比例関係と定義する。ここで、比例係数Kは、図14に示すように、ライブラリ生成条件103に比例係数Kファイル121として設ければよい。また、比例係数Kは、過去に生成した統計的遅延ライブラリ104の情報等を参考にして決定すればよい。
【0129】
【数16】
Figure 2004252831
【0130】
また、図15に示すように、遅延平均値μと遅延標準偏差値σとの間には、回路セルの動作条件にも依るが、一般にはほぼ比例の関係が見られる。
【0131】
次に、図14に示すように、統計的遅延ライブラリ生成装置12Bは、比例係数Kファイル121を含め、ライブラリ生成条件103から必要な情報を読み込む。ここで、解析制御部12gは、各回路セルにおける遅延平均値μを第1の実施形態と同様にして算出し、遅延平均値μファイル12hに出力する。続いて、σ計算部12iは、算出された遅延平均値μから[数16]を用いて遅延標準偏差値σを算出する。以降の処理は第1の実施形態と同様である。
【0132】
第3の実施形態によると、遅延標準偏差値σを回路シミュレーションによって求める必要がなくなるため、シミュレーション時間を大幅に短縮できるので、統計的遅延ライブラリ104を短時間で生成することができる。
【0133】
なお、第3の実施形態においては、比例係数Kを1種類に設定したが、複数種類の比例係数としてもよい。例えば、図15に示すように、比例関係の上限と下限とを設けてもよい。この場合は、例えば、ばらつきの下限の比例係数をKmin とし、平均的な比例係数をKtyp とし、上限の比例係数をKmax としてライブラリ生成条件103にそれぞれ設定しておき、各比例係数Kmin 、Ktyp 、Kmax に対して遅延標準偏差をそれぞれ[数17]、[数18]及び[数19]のように求めればよい。その後は、第1の実施形態と同様に、上限の遅延標準偏差値σmin 、平均的な遅延標準偏差値σtyp 及び上限の遅延標準偏差値σmax を用いて処理する。
【0134】
【数17】
Figure 2004252831
【0135】
【数18】
Figure 2004252831
【0136】
【数19】
Figure 2004252831
【0137】
このように、第3の実施形態によると、第1の実施形態と同様の効果を得られる上に、遅延標準偏差値σの回路セルの動作条件による変動幅の上下限を設定できるため、各遅延標準偏差σmin 、σtyp 及びσmax についてそれぞれ統計的遅延シミュレーションを行なえば、より簡単にLSIの遅延ばらつきの変動幅の上下限を解析することができる。
【0138】
(第4の実施形態)
以下、本発明の第4の実施形態について図面を参照しながら説明する。
【0139】
図16は本発明の第4の実施形態に係るLSIの統計的遅延シミュレーション装置の構成を示すブロック図である。図16において、図1に示す構成要素と同一の構成要素には同一の符号を付すことにより説明を省略する。
【0140】
図16に示すように、第4の実施形態に係る遅延計算機13Cは、遅延ライブラリ120を読み込む構成である。また、静的タイミングアナライザ15に代えて動的タイミングアナライザ16を用いている。さらに、統計的LSI遅延解析結果ファイル108を参照して、タイミング歩留まり推定結果ファイル118を生成するタイミング歩留まり推定部17を備えている点が第1の実施形態と異なる。
【0141】
第4の実施形態に係る統計的遅延ライブラリ生成装置12Cは、回路セルの遅延ばらつきの分布を正規分布と仮定しながらも、その標準偏差値σのみを計算により求め、統計的遅延ライブラリ104に出力する。従って、本実施形態においては、遅延平均値μを統計的遅延ライブラリ104に出力しないようにしている。
【0142】
遅延計算機13Cは、LSIネットリスト105、統計的遅延ライブラリ104及び遅延ライブラリ120を読み込む。ここで、遅延ライブラリ120には、遅延ばらつきを考慮しない従来の正規分布の平均値μに相当する遅延量が、統計的遅延ライブラリ104と同様に、各回路セル、各入出力の組合せ及び各信号遷移方向の組合せについて、出力駆動能力、入力容量及び論理情報等と共に格納されている。従って、遅延計算機13Cは、回路セルの遅延ばらつきである遅延平均値μを従来の方法により生成された遅延ライブラリ120から読み込み、且つ第4の実施形態に係る方法により生成された遅延標準偏差σを統計的遅延ライブラリ104から読み込む。
【0143】
このようにすると、従来の、すなわち既存の、遅延ばらつきを考慮しない場合の遅延ライブラリ120をも活用することができる。これ以降の動作は第1の実施形態と同様である。
【0144】
ここで、従来の統計解析機能を持たない動的タイミングアナライザには、例えば米国Cadence社の論理シミュレータVerilog等がある。
【0145】
図16に示す第4の実施形態に係る動的タイミングアナライザ16は、従来の動的タイミングアナライザの機能に加え、遅延量の分布に正規分布を仮定した統計的計算機能を有することを特徴とする。
【0146】
具体的には、図16に示すように、まず、動的タイミングアナライザ16は、LSIネットリスト105、入力ベクタ115及び統計的LSI遅延情報ファイル107を読み込む。本実施形態においても、解析対象とする信号パスは、図22に示すような回路であり、ここでの動的タイミング解析は、入力ベクタであるLSIへの時系列入力信号群を与えることにより、LSI回路の動作状態が時々刻々変化し、それに応じて信号パスが動的に変化する。その動的に変化する信号パスについて、第1の実施形態で説明した静的タイミングアナライザ15と同様に、信号パスの遅延平均μpathを[数8]により算出し、且つ信号パスの遅延標準偏差σpathを[数9]により算出する。
【0147】
このように遅延ばらつきを考慮したLSIの動的タイミング解析を信号パスのすべてについて実行し、その実行結果を統計的LSI遅延解析結果ファイル108に出力する。
【0148】
(タイミング歩留まり推定工程)
以下、第4の実施形態に特徴的な構成要件であるタイミング歩留まり推定部17の動作について図面を参照しながら説明する。
【0149】
図17(a)は4種類の信号パスA〜Dの遅延ばらつきを解析した結果の一例を示す。ここで、横軸は各信号パスを流れる時刻tを表わし、縦軸は[数5]で示した確率(正規分布)f(t)を表わす。また、図17(b)は各信号パスA〜Dの遅延ばらつきに対応したタイミング歩留まりを解析した結果の一例を示しており、横軸は各信号パスを流れる時刻tを表わし、縦軸は[数20]で示すタイミング歩留まりY(t)を表わす。ここで、Y(t)の取り得る範囲は0〜1である。
【0150】
【数20】
Figure 2004252831
【0151】
タイミング歩留まり推定部17は、例えば各信号パスA〜Dの遅延平均値μと標準偏差値σとが格納された統計的LSI遅延解析結果108を読み込み、タイミング歩留まり推定結果ファイル118に出力する。
【0152】
タイミング歩留まり推定部17は、[数20]に示したように、読み込んだ情報から各信号パスA〜Dの確率分布に対して累積積分を行なうことにより、各信号パスA〜Dの各遅延量に対するタイミング歩留まりY(t)を算出する。
【0153】
ここで、タイミング歩留まりとは、その値が0.5の場合には遅延によるタイミング不具合が50%の確率で生じることを表わす。従って、例えば、信号パスAにおけるタイミング歩留まり値を0.9とする設計目標を立てると、図17(a)から、この場合の信号パスAにおける遅延量がどの程度に収まるかを判定することができる。なお、従来の回路設計においては、タイミング歩留まりという概念はなく、遅延によるタイミング不具合が生じる場合は、信号パスにおける動作確率は0であり、不具合が生じない場合の歩留まりは1である。
【0154】
このように、第4の実施形態によると、第1の実施形態と同様の効果を得られる上に、各信号パスA〜Dの確率分布からタイミング歩留まりY(t)を算出することにより、式(1)に示すサイクルタイムに対して各信号パスA〜Dの遅延量とタイミング歩留まりとが直接に評価することができるようになる。
【0155】
なお、タイミング歩留まり推定部17は、第1の実施形態に係る静的タイミングアナライザ15が出力した統計的LSI遅延解析結果ファイル108の情報を用いる構成としてもよい。
【0156】
(第4の実施形態の一変形例)
以下、本発明の第4の実施形態の一変形例について図面を参照しながら説明する。
【0157】
図18は本発明の第4の実施形態の一変形例に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置を示すブロック図である。図18において、図4に示す構成要素と同一の構成要素には同一の符号を付すことにより説明を省略する。
【0158】
図18に示す統計的遅延ライブラリ生成装置12Dは、LSIを構成する各回路セルにおける遅延ばらつき分布をいくつかの範囲に分割して扱う。
【0159】
本変形例は、各回路セルにおける遅延ばらつきを正規分布と仮定し、さらに、図19に示すような平均値(typ)よりも小さい側(best側)と、平均値(typ)よりも大きい側(worst側)の2つの範囲を有する非対称ばらつきを想定する。
【0160】
具体的には、このようにbest側とworst側とに分割した場合、遅延分布は図20に概念的に示すように、Typ値を対称として右側と左側とで異なる遅延標準偏差を想定したことになる。しかしながら、実際にこのような仮想的な非対称分布のままで1つの曲線を直接的に計算することは困難であるため、本変形例においては、2つの完全な正規分布1、2を考える。
【0161】
従って、図18に示すように、統計的遅延ライブラリ生成装置12Dを構成するμ−σbest−σworst 計算部12jは、best側を含む曲線1とworst側を含む曲線2の2つの条件ごとに、回路セルの遅延ばらつきである遅延の平均値及び遅延の標準偏差値σbest、σworst を計算して、統計的遅延ライブラリ104にそれぞれ出力する。
【0162】
その後は、best側条件とworst側条件とのそれぞれについて、タイミング歩留まり推定結果ファイル118まで第4の実施形態と同様に算出する。
【0163】
次に、best側のtyp値までのタイミング歩留まり(0〜0.5)と、worst側のtyp値までのタイミング歩留まり(0.5〜1)とを合成することにより、図21に示すようなタイミング歩留まりの曲線を得ることができる。
【0164】
このように、本変形例においては、第4の実施形態と同様の効果を得られる上に、解析対称である回路セルの遅延ばらつきが非対称である場合にも、タイミング歩留まりY(t)を求めることができる。
【0165】
【発明の効果】
本発明に係るLSIの統計的遅延シミュレーション装置によると、回路セルの遅延ばらつきが該回路セルにおける動作条件を考慮して求められ、さらに信号パス又は動作に応じた信号の流れでLSIの遅延ばらつきをシミュレーションできるようになるため、タイミング歩留まりの予測性が高まる。その結果、回路セルに固有の遅延ばらつきを与えて解析する従来の方法と比べ、シミュレーションの信頼性が向上して、LSI設計における設計マージンの過不足を信号パスごとに直接に評価できるようになる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係るLSIの統計的遅延シミュレーション装置を示すブロック図である。
【図2】本発明がシミュレーション対象とする回路セルの一例であるインバータを示す論理回路図である。
【図3】本発明がシミュレーション対象とする回路セルの一例であるインバータを示すトランジスタ回路図である。
【図4】本発明の第1の実施形態に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置の一例を示すブロック図である。
【図5】本発明のシミュレーション対象であって、遅延分布を正規分布と仮定する信号パスの一例を示す模式的な回路図である。
【図6】本発明の第1の実施形態に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置が出力する遅延平均の入力立上がり時間及び出力負荷容量依存性の一例を示すグラフである。
【図7】本発明の第1の実施形態に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置が出力する遅延標準偏差の入力立上がり時間及び出力負荷容量依存性の一例を示すグラフである。
【図8】本発明の第1の実施形態に係る統計的LSI遅延情報の書式を示す図である。
【図9】本発明の第1の実施形態の一変形例に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置を示すブロック図である。
【図10】本発明の第2の実施形態に係るLSIの統計的遅延シミュレーション装置を示すブロック図である。
【図11】本発明の第2の実施形態に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置が出力する正規化遅延標準偏差の入力立上がり時間及び出力負荷容量依存性の一例を示すグラフである。
【図12】本発明の第2の実施形態に係るLSIの統計的遅延シミュレーション装置の他の例を示すブロック図である。
【図13】本発明の第3の実施形態に係るLSIの統計的遅延シミュレーション装置を示すブロック図である。
【図14】本発明の第3の実施形態に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置を示すブロック図である。
【図15】本発明の第3の実施形態に係るLSIの統計的遅延シミュレーション装置が扱う遅延平均と遅延標準偏差との比例関係を示すグラフである。
【図16】本発明の第4の実施形態に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置を示すブロック図である。
【図17】(a)は第4の実施形態に係るLSIの統計的遅延シミュレーション装置が出力する信号パス遅延の分布例を示すグラフである。
(b)は(a)をもとにタイミング歩留まりを求めた例を示すグラフである。
【図18】本発明の第4の実施形態の一変形例に係るLSIの統計的遅延シミュレーション装置を構成する統計的遅延ライブラリ生成装置を示すブロック図である。
【図19】本発明の第4の実施形態の一変形例に係るLSIの統計的遅延シミュレーション装置が扱う非対称なディレイティングファクタの一例を示す図である。
【図20】本発明の第4の実施形態の一変形例に係るLSIの統計的遅延シミュレーション装置が扱う非対称な遅延ばらつきを仮想的に示したグラフである。
【図21】本発明の第4の実施形態の一変形例に係るLSIの統計的遅延シミュレーション装置が扱う非対称な遅延ばらつきからタイミング歩留まりを求めた例を示すグラフである。
【図22】LSIにおける信号パスの一般的な構成を示すブロック回路図である。
【図23】LSIにおける一般的なディレイティングファクタの一例を示す図である。
【符号の説明】
10 統計的遅延シミュレーション装置
11 回路シミュレータ
12 統計的遅延ライブラリ生成装置
12a セル動作条件制御部
12b モンテカルロ解析制御部
12c 遅延分布ファイル
12d μ−σ計算部
12e 感度解析制御部
12f 感度結果ファイル
12g 解析制御部
12h 遅延平均値μファイル
12i σ計算部
12j μ−σbest−σworst 計算部
12A 統計的遅延ライブラリ生成装置
12B 統計的遅延ライブラリ生成装置
12C 統計的遅延ライブラリ生成装置
12D 統計的遅延ライブラリ生成装置
13 遅延計算機
13A 遅延計算機
13C 遅延計算機
14 セル遅延ばらつき推定部
15 静的タイミングアナライザ
16 動的タイミングアナライザ
17 タイミング歩留まり推定部
50 インバータ
51 前段回路セル
52 後段回路セル
53 第1の配線寄生素子
54 第2の配線寄生素子
101 プロセスパラメータ
102 セルネットリスト
103 ライブラリ生成条件
104 統計的遅延ライブラリ
105 LSIネットリスト
106 セル遅延ばらつき度合ファイル
107 統計的LSI遅延情報ファイル
108 統計的LSI遅延解析結果ファイル
115 入力ベクタ
118 タイミング歩留まり推定結果ファイル
120 遅延ライブラリ
121 比例係数Kファイル

Claims (19)

  1. 複数の回路セルを含むLSIの統計的遅延を設計段階において解析するシミュレーション装置であって、
    前記各回路セルにおける特性のばらつきの動作条件に対する依存性を表わす統計的特性ライブラリを参照しながら、前記各回路セルの遅延のばらつきを、前記LSIの動作時における前記回路セルごとの前記動作条件の値に基づいて推定するセル遅延ばらつき推定手段を備え、
    前記セル遅延ばらつき推定手段により推定された前記LSIの遅延のばらつきを含む動作をシミュレーションすることを特徴とするLSIの統計的遅延シミュレーション装置。
  2. 前記各回路セルは少なくとも1つのトランジスタを含み、
    前記トランジスタごとの動作特性のばらつき度合をシミュレーションにより求める回路シミュレータと、
    前記トランジスタごとに求められた動作特性のばらつき度合の前記動作条件に対する依存性を求めることにより前記統計的特性ライブラリを生成する統計的特性ライブラリ生成装置とをさらに備えていることを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  3. 前記各回路セルの遅延ばらつきを推定する遅延計算機をさらに備え、
    前記遅延計算機によって推定された前記各回路セルの遅延のばらつきに基づいて、前記LSIの動作をシミュレーションすることを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  4. 前記セル遅延ばらつき推定手段は、前記遅延計算機に設けられていることを特徴とする請求項3に記載のLSIの統計的遅延シミュレーション装置。
  5. 前記各回路セルの特性のばらつきは、回路セルごとの入力端子と出力端子との間の信号伝搬遅延のばらつきであることを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  6. 前記動作条件は、前記各回路セルにおける入力信号の立上がり又は立下がり時間であることを特徴とする請求項5に記載のLSIの統計的遅延シミュレーション装置。
  7. 前記動作条件は、前記各回路セルにおける出力負荷容量であることを特徴とする請求項5に記載のLSIの統計的遅延シミュレーション装置。
  8. 前記統計的特性ライブラリは、前記各回路セルにおける特性のばらつきの動作条件に対する依存性がデータテーブル形式で表わされていることを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  9. 前記統計的特性ライブラリは、前記各回路セルにおける特性のばらつきの動作条件に対する依存性が関数で表わされていることを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  10. 前記統計的特性ライブラリは、前記各回路セルにおける特性のばらつきを該回路セルの特定の条件における特性から推定することを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  11. 前記統計的特性ライブラリは、前記各回路セルにおける特性のばらつきが正規分布で表わされていることを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  12. 前記統計的特性ライブラリは、前記正規分布の標準偏差が前記各回路セルの平均特性に比例して表わされていることを特徴とする請求項11に記載のLSIの統計的遅延シミュレーション装置。
  13. 前記統計的特性ライブラリは、前記正規分布の標準偏差が前記各回路セルの特性に対し複数の比例関係で表わされていることを特徴とする請求項12に記載のLSIの統計的遅延シミュレーション装置。
  14. 前記統計的特性ライブラリは、前記正規分布の標準偏差が前記各回路セルの入力信号の立上がり又は立下がり時間と出力負荷容量とから求められていることを特徴とする請求項11に記載のLSIの統計的遅延シミュレーション装置。
  15. 前記統計的特性ライブラリは、前記正規分布の標準偏差が遅延の平均値で正規化されていることを特徴とする請求項11に記載のLSIの統計的遅延シミュレーション装置。
  16. 前記LSIの遅延のばらつきからタイミング歩留まりを推定するタイミング歩留まり推定手段をさらに備えていることを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  17. 前記LSIにおける遅延のばらつきの範囲を少なくとも2つに分割し、分割された範囲ごとに統計的遅延シミュレーションを行ない、そのシミュレーションの結果から前記分割された範囲ごとにタイミング歩留まりを計算し、該分割された範囲ごとのタイミング歩留まりを考慮して前記LSIのタイミング歩留まりとすることを特徴とする請求項1に記載のLSIの統計的遅延シミュレーション装置。
  18. 複数の回路セルを含むLSIの統計的遅延を設計段階において解析するシミュレーション方法であって、
    前記各回路セルにおける特性のばらつきの動作条件に対する依存性を表わす統計的特性ライブラリを生成する工程と、
    前記LSIの動作時における前記回路セルごとの前記動作条件の値に基づいて特性ばらつきを推定する工程と、
    推定された前記LSIの遅延のばらつきを含む動作をシミュレーションする工程とを備えていることを特徴とするLSIの統計的遅延シミュレーション方法。
  19. 前記LSIの遅延ばらつきからタイミング歩留まりを推定するタイミング歩留まり推定工程をさらに備えていることを特徴とする請求項18に記載のLSIの統計的遅延シミュレーション方法。
JP2003044029A 2003-02-21 2003-02-21 Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法 Pending JP2004252831A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003044029A JP2004252831A (ja) 2003-02-21 2003-02-21 Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法
US10/756,471 US7239997B2 (en) 2003-02-21 2004-01-14 Apparatus for statistical LSI delay simulation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003044029A JP2004252831A (ja) 2003-02-21 2003-02-21 Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法

Publications (1)

Publication Number Publication Date
JP2004252831A true JP2004252831A (ja) 2004-09-09

Family

ID=32866470

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003044029A Pending JP2004252831A (ja) 2003-02-21 2003-02-21 Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法

Country Status (2)

Country Link
US (1) US7239997B2 (ja)
JP (1) JP2004252831A (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007172497A (ja) * 2005-12-26 2007-07-05 Fujitsu Ltd 集積回路レイアウト装置、その方法及びプログラム
JP2007233550A (ja) * 2006-02-28 2007-09-13 Fujitsu Ltd 遅延解析プログラム、該プログラムを記録した記録媒体、遅延解析方法、および遅延解析装置
US7320118B2 (en) 2005-09-26 2008-01-15 Fujitsu Limited Delay analysis device, delay analysis method, and computer product
JP2008016748A (ja) * 2006-07-10 2008-01-24 Fujitsu Ltd Lsiの取得率予測方法
JP2008015747A (ja) * 2006-07-05 2008-01-24 Fujitsu Ltd 統計的タイミング解析の悲観的誤差を評価する方法
JP2008112406A (ja) * 2006-10-31 2008-05-15 Matsushita Electric Ind Co Ltd セルライブラリの生成方法、これを用いたタイミング解析方法、セルライブラリの生成装置およびタイミング解析装置
JP2008123306A (ja) * 2006-11-14 2008-05-29 Nec Electronics Corp 電気特性測定回路、遅延ライブラリ作成装置、遅延ライブラリの作成方法、及び半導体集積回路の設計方法
US7562266B2 (en) 2005-11-16 2009-07-14 Fujitsu Microelectronics Limited Method and device for verifying timing in a semiconductor integrated circuit
JP2010512645A (ja) * 2006-12-06 2010-04-22 クゥアルコム・インコーポレイテッド プロセスばらつき耐性メモリ設計
JP2010170372A (ja) * 2009-01-23 2010-08-05 Nec Corp 半導体集積回路の回路遅延検証装置、方法およびプログラム
JP2010530559A (ja) * 2007-04-27 2010-09-09 インターナショナル・ビジネス・マシーンズ・コーポレーション プロセス・カバレッジ評価によるicチップの機能性速度でのテスト法
JP2011008410A (ja) * 2009-06-24 2011-01-13 Renesas Electronics Corp 回路設計支援装置、回路設計支援装置の制御方法及び回路設計支援プログラム
US7944446B2 (en) 2007-02-13 2011-05-17 Fujitsu Limited Device and method for displaying delay analysis results, and computer product
US8225254B2 (en) 2008-08-07 2012-07-17 Fujitsu Limited Delay period analyzing apparatus, delay period analyzing method, and delay period analyzing program
US8281267B2 (en) 2009-08-17 2012-10-02 Fujitsu Limited Circuit design support apparatus, circuit design support method, and circuit design support program

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7552040B2 (en) * 2003-02-13 2009-06-23 International Business Machines Corporation Method and system for modeling logical circuit blocks including transistor gate capacitance loading effects
US7735048B1 (en) * 2003-11-24 2010-06-08 Cadence Design Systems, Inc. Achieving fast parasitic closure in a radio frequency integrated circuit synthesis flow
US20050177356A1 (en) * 2004-02-10 2005-08-11 Matsushita Electric Industrial Co., Ltd. Circuit simulation method and circuit simulation apparatus
JP2005352787A (ja) * 2004-06-10 2005-12-22 Matsushita Electric Ind Co Ltd タイミング解析方法およびタイミング解析装置
US20050288918A1 (en) * 2004-06-24 2005-12-29 Chen Thomas W System and method to facilitate simulation
US7487475B1 (en) * 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
US7237212B2 (en) * 2004-10-22 2007-06-26 Synopsys, Inc. Method and apparatus for reducing timing pessimism during static timing analysis
US7401307B2 (en) * 2004-11-03 2008-07-15 International Business Machines Corporation Slack sensitivity to parameter variation based timing analysis
US7328416B1 (en) * 2005-01-24 2008-02-05 Sun Microsystems, Inc. Method and system for timing modeling for custom circuit blocks
EP1866760A4 (en) * 2005-03-18 2009-08-05 Celik Mustafa STATISTICAL DELAY AND NOISE CALCULATION, TAKING INTO ACCOUNT CELL AND CONNECTION FLUCTUATIONS
JP2006268479A (ja) * 2005-03-24 2006-10-05 Fujitsu Ltd 設計支援装置、設計支援方法、設計支援プログラム、および記録媒体
JP4619172B2 (ja) * 2005-03-29 2011-01-26 富士通セミコンダクター株式会社 タイミング解析方法、タイミング解析プログラム、およびタイミング解析装置
US7308381B2 (en) * 2005-08-31 2007-12-11 Matsushita Electric Industrial Co., Ltd. Timing verification method for semiconductor integrated circuit
US20070136705A1 (en) * 2005-12-09 2007-06-14 Fujitsu Limited Timing analysis method and device
JP2007183932A (ja) * 2005-12-09 2007-07-19 Fujitsu Ltd タイミング解析方法及びタイミング解析装置
US8768657B2 (en) * 2006-01-12 2014-07-01 Jentek Sensors, Inc. Remaining life prediction for individual components from sparse data
US7689953B1 (en) * 2006-01-18 2010-03-30 Globalfoundries Inc. Method and apparatus for determining cell-based timing elements from a transistor-level design
US7506293B2 (en) * 2006-03-22 2009-03-17 Synopsys, Inc. Characterizing sequential cells using interdependent setup and hold times, and utilizing the sequential cell characterizations in static timing analysis
JP2007257342A (ja) * 2006-03-23 2007-10-04 Matsushita Electric Ind Co Ltd 半導体集積回路の設計装置及び設計方法
JP4629607B2 (ja) * 2006-03-31 2011-02-09 富士通セミコンダクター株式会社 半導体集積回路のタイミング検証方法及びタイミング検証装置
US8275584B2 (en) * 2006-12-12 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Unified model for process variations in integrated circuits
US8185369B2 (en) * 2007-01-08 2012-05-22 Infineon Technologies Ag Method and apparatus for characterizing properties of electronic devices depending on device parameters
US20080183443A1 (en) * 2007-01-31 2008-07-31 David Goren Statistical simulation of on chip interconnects
EP2006784A1 (en) * 2007-06-22 2008-12-24 Interuniversitair Microelektronica Centrum vzw Methods for characterization of electronic circuits under process variability effects
JP2009021378A (ja) * 2007-07-11 2009-01-29 Nec Electronics Corp 半導体集積回路の生産方法、設計方法及び設計システム
US7647569B2 (en) * 2007-08-01 2010-01-12 Micron Technology, Inc. Systems, methods, and computer-readable media for adjusting layout database hierarchies for more efficient database processing and storage
US8094686B2 (en) * 2008-04-10 2012-01-10 Agere Systems, Inc. Method and apparatus for simulating packet delay variation of a multi-switch network
US8375343B1 (en) * 2008-04-11 2013-02-12 Cadence Design Systems, Inc. Methods and apparatus for waveform based variational static timing analysis
US7886246B2 (en) * 2008-04-16 2011-02-08 International Business Machines Corporation Methods for identifying failing timing requirements in a digital design
JP5304088B2 (ja) * 2008-07-31 2013-10-02 富士通株式会社 遅延時間分布を解析する解析方法および解析装置
US20110054806A1 (en) * 2009-06-05 2011-03-03 Jentek Sensors, Inc. Component Adaptive Life Management
US8478576B1 (en) 2010-03-04 2013-07-02 Donald Kevin Cameron Including variability in simulation of logic circuits
US8176461B1 (en) * 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8515724B2 (en) 2010-06-22 2013-08-20 International Business Machines Corporation Technology computer-aided design (TCAD)-based virtual fabrication
US8516424B2 (en) * 2011-09-27 2013-08-20 Lsi Corporation Timing signoff system and method that takes static and dynamic voltage drop into account
US8762904B2 (en) * 2012-03-28 2014-06-24 Synopsys, Inc. Optimizing logic synthesis for environmental insensitivity
KR102328044B1 (ko) 2014-10-21 2021-11-17 삼성전자주식회사 시뮬레이터의 작동 방법과 이를 수행할 수 있는 장치
US10222850B2 (en) * 2016-10-06 2019-03-05 International Business Machines Corporation Voltage and frequency balancing at nominal point

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5383167A (en) 1991-10-16 1995-01-17 Nextwave Design Automation Method and apparatus for histogram based digital circuit simulator
JP3207989B2 (ja) * 1993-12-21 2001-09-10 三菱電機株式会社 遅延時間計算装置
JPH10124563A (ja) * 1996-08-27 1998-05-15 Matsushita Electric Ind Co Ltd 論理回路の遅延計算方法、その遅延計算装置及び遅延ライブラリの遅延データ計算方法
TW346657B (en) * 1996-08-29 1998-12-01 Matsushita Electron Co Ltd Simulation device for time degradation of LSI and simulation method thereof
JPH113366A (ja) * 1997-06-13 1999-01-06 Fujitsu Ltd 遅延時間算出方法、遅延時間算出装置、テーブル作成方法及び記憶媒体
US6278964B1 (en) * 1998-05-29 2001-08-21 Matsushita Electric Industrial Co., Ltd. Hot carrier effect simulation for integrated circuits
US7010475B2 (en) * 1999-05-03 2006-03-07 Koninklijke Philips Electronics N.V. Derating factor determination for integrated circuit logic design tools
JP2000357183A (ja) * 1999-06-16 2000-12-26 Matsushita Electric Ind Co Ltd 遅延ライブラリ表現方法、遅延ライブラリ生成方法、および遅延ライブラリを用いた遅延計算方法
JP2001101258A (ja) * 1999-10-04 2001-04-13 Hitachi Ltd 配線部における有効負荷容量算出方法及び電子回路の遅延時間算出方法
JP2001147948A (ja) * 1999-11-19 2001-05-29 Matsushita Electric Ind Co Ltd セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法
JP2001306647A (ja) * 2000-04-21 2001-11-02 Matsushita Electric Ind Co Ltd タイミング検証方法
JP3988015B2 (ja) * 2000-06-06 2007-10-10 日本電気株式会社 半導体装置の設計方法
JP2002163324A (ja) * 2000-11-28 2002-06-07 Hitachi Ltd 遅延時間計算方法及びそれを用いた半導体集積回路の設計方法
JP2003196341A (ja) * 2001-12-25 2003-07-11 Nec Electronics Corp 半導体装置の設計方法
WO2003060776A1 (fr) * 2002-01-11 2003-07-24 Fujitsu Limited Procede et systeme de calcul du temps de retard d'un circuit integre a semi-conducteurs
US20040215437A1 (en) * 2003-04-22 2004-10-28 Chinsong Sul System and method for improved accuracy of standard cell timing models
JP2005352787A (ja) * 2004-06-10 2005-12-22 Matsushita Electric Ind Co Ltd タイミング解析方法およびタイミング解析装置

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7320118B2 (en) 2005-09-26 2008-01-15 Fujitsu Limited Delay analysis device, delay analysis method, and computer product
US7562266B2 (en) 2005-11-16 2009-07-14 Fujitsu Microelectronics Limited Method and device for verifying timing in a semiconductor integrated circuit
US7424694B2 (en) 2005-12-26 2008-09-09 Fujitsu Limited Integrated circuit layout device, method thereof and program thereof
JP2007172497A (ja) * 2005-12-26 2007-07-05 Fujitsu Ltd 集積回路レイアウト装置、その方法及びプログラム
JP2007233550A (ja) * 2006-02-28 2007-09-13 Fujitsu Ltd 遅延解析プログラム、該プログラムを記録した記録媒体、遅延解析方法、および遅延解析装置
JP4734141B2 (ja) * 2006-02-28 2011-07-27 富士通株式会社 遅延解析プログラム、該プログラムを記録した記録媒体、遅延解析方法、および遅延解析装置
US7516432B2 (en) 2006-02-28 2009-04-07 Fujitsu Limited Circuit delay analyzing method, circuit delay analyzing apparatus, and computer product
JP2008015747A (ja) * 2006-07-05 2008-01-24 Fujitsu Ltd 統計的タイミング解析の悲観的誤差を評価する方法
JP2008016748A (ja) * 2006-07-10 2008-01-24 Fujitsu Ltd Lsiの取得率予測方法
JP2008112406A (ja) * 2006-10-31 2008-05-15 Matsushita Electric Ind Co Ltd セルライブラリの生成方法、これを用いたタイミング解析方法、セルライブラリの生成装置およびタイミング解析装置
JP2008123306A (ja) * 2006-11-14 2008-05-29 Nec Electronics Corp 電気特性測定回路、遅延ライブラリ作成装置、遅延ライブラリの作成方法、及び半導体集積回路の設計方法
JP2010512645A (ja) * 2006-12-06 2010-04-22 クゥアルコム・インコーポレイテッド プロセスばらつき耐性メモリ設計
US7944446B2 (en) 2007-02-13 2011-05-17 Fujitsu Limited Device and method for displaying delay analysis results, and computer product
JP2010530559A (ja) * 2007-04-27 2010-09-09 インターナショナル・ビジネス・マシーンズ・コーポレーション プロセス・カバレッジ評価によるicチップの機能性速度でのテスト法
US8225254B2 (en) 2008-08-07 2012-07-17 Fujitsu Limited Delay period analyzing apparatus, delay period analyzing method, and delay period analyzing program
JP2010170372A (ja) * 2009-01-23 2010-08-05 Nec Corp 半導体集積回路の回路遅延検証装置、方法およびプログラム
JP2011008410A (ja) * 2009-06-24 2011-01-13 Renesas Electronics Corp 回路設計支援装置、回路設計支援装置の制御方法及び回路設計支援プログラム
US8281267B2 (en) 2009-08-17 2012-10-02 Fujitsu Limited Circuit design support apparatus, circuit design support method, and circuit design support program

Also Published As

Publication number Publication date
US20040167756A1 (en) 2004-08-26
US7239997B2 (en) 2007-07-03

Similar Documents

Publication Publication Date Title
JP2004252831A (ja) Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法
US10222852B2 (en) Voltage and frequency balancing at nominal point
JP4061295B2 (ja) デジタル回路の統計的タイミング解析のためのシステムおよび方法
US5974247A (en) Apparatus and method of LSI timing degradation simulation
US8656331B1 (en) Timing margins for on-chip variations from sensitivity data
US20050276135A1 (en) Timing analysis method and apparatus
JP2005011892A (ja) Lsiの設計マージンの設定方法
US8627263B2 (en) Gate configuration determination and selection from standard cell library
JP2007026449A (ja) 検証問題の困難度を評価する方法、論理装置及びシステム
US20070094626A1 (en) Methods for measurement and prediction of hold-time and exceeding hold time limits due to cells with tied input pins
US20150356229A1 (en) Physical cell electromigration data generation
US8707234B1 (en) Circuit noise extraction using forced input noise waveform
US20060059446A1 (en) Sensitivity based statistical timing analysis
US8150638B1 (en) Predicting parasitic capacitance in schematic circuit simulations using sub-circuit modeling
CN109753675B (zh) 逻辑门假信号建模的方法
JP2996214B2 (ja) 半導体集積回路の信頼性検証装置及び検証方法並びに検証プログラムを格納した記憶媒体
JP2010170180A (ja) 回路検証装置およびプログラム
JP2006215987A (ja) 電圧降下量計算方法及び電圧降下量計算装置、回路検証方法及び回路検証装置、並びに回路設計方法及び回路設計装置
US7185298B2 (en) Method of parasitic extraction from a previously calculated capacitance solution
US8458633B2 (en) Semiconductor integrated circuit design apparatus and method for analyzing a delay in a semiconductor integrated circuit
JP3243434B2 (ja) Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法
US7552410B1 (en) Estimating LUT power usage
JP4066399B2 (ja) 集積回路におけるホットキャリア効果のシミュレーション方法
Khvatov et al. Method for Determining the Optimal Composition of a Reduced Standard Cell Library for FPGA
Ohlinger et al. The Involution Tool for Accurate Digital Timingand Power Analysis

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050804

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080602

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080617

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081028