JP5304088B2 - 遅延時間分布を解析する解析方法および解析装置 - Google Patents

遅延時間分布を解析する解析方法および解析装置 Download PDF

Info

Publication number
JP5304088B2
JP5304088B2 JP2008198869A JP2008198869A JP5304088B2 JP 5304088 B2 JP5304088 B2 JP 5304088B2 JP 2008198869 A JP2008198869 A JP 2008198869A JP 2008198869 A JP2008198869 A JP 2008198869A JP 5304088 B2 JP5304088 B2 JP 5304088B2
Authority
JP
Japan
Prior art keywords
delay time
stages
delay
circuits
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008198869A
Other languages
English (en)
Other versions
JP2010039575A (ja
Inventor
文 神原
裕司 吉田
杉夫 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2008198869A priority Critical patent/JP5304088B2/ja
Priority to US12/453,357 priority patent/US8078428B2/en
Publication of JP2010039575A publication Critical patent/JP2010039575A/ja
Application granted granted Critical
Publication of JP5304088B2 publication Critical patent/JP5304088B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、遅延時間分布を解析する解析方法および解析装置に関する。
近年、半導体集積回路(以下、「LSI」と言う)の微細化又は高集積化に伴い、製造上のばらつきやプロセス、温度、電圧などのばらつきが遅延時間に及ぼす影響が大きくなり、これが歩留まりにも影響を及ぼすようになった。このような様々なばらつきによって引き起こされる遅延時間の相違(以下、「遅延ばらつき」と言う)の増大は、LSIの設計期間や歩留まりに大きな影響を与えている。そのため、LSIの開発では、所定の歩留まりを実現するために、各素子のばらつきが最悪ケースの信号遅延時間を計算し回路の遅延解析をおこなっている。
通常、このような解析として、静的遅延解析(STA:Stoical Timing Analysis)が行われている。STAでは、各素子の最悪ケースの信号遅延時間を用いて、全ての素子が最悪ケースの信号遅延時間を生じることを想定することにより、回路の最悪信号遅延時間を算出している。
一般に、LSI開発では、論理素子としての回路セル又は内部に論理素子により構成された回路を有する回路セルをベースとした設計が行われている。例えば、論理素子としての回路セルには、インバータ(否定)回路セル、AND(論理積)回路セル、OR(論理和)回路セル等が含まれ、回路を有する回路セルには、Dラッチ回路セル、インクリメンタ(増分器)回路セル、カウンタ回路セル等が含まれる。そのため、LSIのSTAは、回路セルごとの最悪信号遅延時間を用いて、全ての回路セルが最悪信号遅延時間を生じることを想定して、回路の最悪信号遅延時間を算出している。
しかし、全ての回路セルが最悪信号遅延時間を取ると想定したSTA解析を用いた設計手法では、信号遅延が過剰に見積もられてしまうため、LSIの微細化又は高集積化に伴い所望の性能を満たす設計が困難になってきた。
従来、製造ばらつき等によって生じる遅延ばらつきはモンテカルロ解析等の統計的手法を用いた解析手法により算出する方法が提案されている。この文献では、モンテカルロ解析により、トランジスタのゲート長さ等のプロセス特性をランダムに設定することで素子の信号遅延時間の確率分布(以下、「遅延時間分布」と言う)を求め、その遅延時間分布から歩留まりを求める。モンテカルロ解析により、プロセス変数と遅延歩留まりの関係が正確に求まるため、所定の歩留まりを得るために最適な設計マージンを求めることができる。
また、各素子の信号遅延時間を確率分布として統計的に扱うことで、回路の遅延時間分布を算出する方法である統計的タイミング解析(SSTA)として各素子のゲート幅や配線等のプロセス特性から遅延時間分布を作成して、回路の遅延時間分布を求める手法が提案されている。
特開2005−11892号公報 新田 泉、本間 克己、澁谷 利行、「統計的遅延解析におけるモデルと精度に関する一考察」(電子情報通信学会 信学技法 2005年12月、頁61〜66)
SSTAを用いることによりSTAで問題となっている過剰な設計マージンを最適化することができる。SSTA解析を行うためには回路セルごとの遅延時間分布が必要になる。回路セルの遅延時間分布はモンテカルロ解析によって求めるのが理想的だが、回路セルに対してモンテカルロ解析を実行するためには莫大な処理時間がかかるうえ、解析結果のデータ量も膨大になる。全回路セルに対してモンテカルロ解析を行うことは、高集積化され開発工程の逼迫したLSI設計において多くの時間とデータ量を要すため現実的ではない。
本発明は、SSTAを用い最適な設計マージンでLSI回路の設計を行うために、回路セルの遅延時間分布を求める遅延ばらつき解析装置及びその方法を提供することを目的とする。
上記課題を解決するために、N(2以上の自然数)段の回路の遅延時間分布を解析する方法が提供される。
上記方法は、上記回路の遅延時間分布が正規分布に従うとして、上記回路を伝播する信号の最大偏差遅延時間と、上記回路の基本遅延時間とを算出する遅延時間算出ステップと、上記回路の最大偏差遅延時間と上記回路の基本遅延時間との差分についてのN段の二乗平均を用いて、N段の回路の遅延ばらつき値を算出する遅延ばらつき算出ステップと、上記算出された遅延ばらつき値を用いて、上記N段の回路の遅延時間分布を正規分布として生成するステップと、を有する。
この方法は、各回路の遅延時間分布を生成し、回路のモンテカルロ解析を行うことなく、回路の遅延時間分布を簡易に解析することができる。
以下、図面を参照して、本発明の実施の形態を説明する。
図1には、素子の遅延時間分布を用いて、複数の素子により構成される回路の信号遅延時間分布を簡易に算出する方法及びその装置の一例が示される。遅延ばらつき解析装置10は、処理部20、記憶部30、表示部42、入力部43を有し、これら装置10の構成要素は互いにバス接続される。なお、以下において「素子」を用いて説明した場合、「素子」の1つの例として「回路セル」も含まれる。
処理部20は、単一又は複数の処理装置を用いて実装され得る。そのような処理装置は、中央処理装置(CPU)やプログラマブルロジックデバイス、デジタルシグナルプロセッサ(DSP)、フィールドプログラマブルゲートアレイ(FPGA)等を有し得る。
また、処理部20は、記憶部30に格納されたプログラム等の実行処理、各種データの記憶部30への入出力制御や、入力部43に入力された情報を記憶部30に記憶する制御や、遅延ばらつきの結果等を表示部42に表示する制御を行うことができる。
さらに、処理部20は、信号遅延時間算出部22、遅延ばらつき算出部24、統計的遅延計算部26、タイミングチェック部28として機能することができる。
信号遅延時間算出部22は、回路に含まれる素子について信号遅延時間を計算することができる。遅延ばらつき算出部24は、信号遅延時間算出部22により算出された信号遅延時間を用いてN段の回路セルの遅延ばらつきや、その遅延ばらつきの確率分布(以下、「遅延時間分布」と言う)を算出することができる。統計的遅延解析部26は、遅延ばらつき算出部24により算出されたN段の回路セルの遅延時間分布を用いて、N段の回路セルを複数有する回路の遅延時間分布を統計的に算出する。タイミングチェック部28は、遅延ばらつき算出部24により出力されたN段の回路セルの遅延時間分布、又は、統計的遅延解析部26により算出された回路の遅延時間分布を表示部42等に出力することができる。
記憶部30には、処理部20で利用される各種のデータが格納される。例えば、制御部20の一連の手順を実行するためのプログラム(オペレーティングシステム、アプリケーション等)やファームウェア、回路の構造定義情報や素子のプロセス特性が定義される設計データ32、信号遅延時間算出部22により算出された素子種類毎の±3σ信号遅延時間を格納する信号遅延時間データ34、遅延ばらつき算出部24により算出された回路の遅延ばらつきを格納する統計的遅延データ36、及びタイミングレポート38が記憶部30に格納される。
また、記憶部30に記憶されるプログラムを、処理部20に実行させることにより、処理部20は、信号遅延時間算出部22、遅延ばらつき算出部24、統計的遅延計算部26、タイミングチェック部28として機能することもできる。
また、記憶部30は、例えば不揮発性の記憶デバイス(不揮発性半導体メモリ、ハードディスク装置、光ディスク装置、フラッシュメモリなど)や、ランダムアクセス可能な記憶デバイス(SRAM、DRAMなど)、読み出し専用メモリ等によって構成され得る。
入力部43は、キーパッドがユーザによって操作された場合に、その操作内容に対応する信号を発生し、これをユーザの指示として制御部20に入力する。表示部42は、例えば液晶表示パネルや有機ELパネル等の表示デバイスを用いて構成され、制御部20から供給される信号に応じたテキストあるいは画像を表示する。
図2を用いて、遅延ばらつき解析装置による遅延ばらつきの計算対象となるN段の回路セルの一例について説明する。
N段の回路セル50は、NANDゲート素子A1、A2、・・・、ANや、図示しない他のOR等の論理ゲート素子、インバータ素子等を含む回路パス51Aを含む。信号は、回路パス51Aにおいて、入力部52AからNANDゲート素子等を通過して、出力部53Aへ伝播する。
図3を用いて、図2に表される各素子のプロセス特性を定義した設計データを説明する。
プロセス特性データ60に示すように、プロセス特性として、例えば、NANDゲート素子A1のプロセス特性は、NANDゲート素子A1を構成するMOS(Metal Oxide Semiconductor)のゲート長L、ゲート幅W、スレッショルド電圧Vth、プロセスのばらつき特性データ等が規定される。
また、図示されないが、設計データ32には、複数の回路パスを規定した回路記述データが含められる。
図4を用いて、遅延ばらつき解析装置10によるN段の回路セルの遅延ばらつき計算の処理フローの一例を説明する。
まず、信号遅延時間算出部22は、設計データ32から図3に示される素子のプロセス特性情報を取得する(ステップS101)。設計者は、基本信号遅延時間を算出する場合は標準電圧値等のように信号遅延時間が平均値を示すときの典型的なプロセス特性、最悪信号遅延時間を算出する場合はプロセス特性±3σ、またシステマティックばらつきやランダムばらつき(後述)などの条件にも注意し適切なプロセス情報を選択し、信号遅延時間算出部22が計算に用いる設定値として設定する。
信号遅延時間算出部22は、設計データ32に含まれる回路記述や取得したプロセス特性を元に回路動作シミュレータ(SPICE等)を用いて回路セルの信号遅延時間を算出する(ステップS102)。なお、遅延時間分布を求めるためには基本信号遅延時間、FAST側とSLOW側との最悪信号遅延時間の3種類の遅延時間が必要であるので、ステップS101、ステップS102を繰り返し必要なデータを算出する。
信号遅延時間算出部22は、上記したような典型的なプロセス特性の時の遅延時間を基本信号遅延時間X0、プロセス特性が±3σばらついたときの信号遅延時間を最悪信号遅延時間XNとみなし、信号遅延データ34として記憶部30に格納する。
N段の回路セルの遅延ばらつきは、遅延に影響を与えるプロセスの特性が一様に変化(以下「システマティックばらつき」と呼ぶ)したために起こるシステマティック遅延ばらつきと、信号遅延に影響を与えるプロセス特性がランダムにばらつく(以下「ランダムばらつき」と呼ぶ)ことによって生じるランダム遅延ばらつきがある。
遅延ばらつき算出部24は、基本信号遅延時間X0とシステマティックばらつきのプロセス特性から算出した最悪信号遅延時間XNとの差分値Yと、基本信号遅延時間X0を用いて、下記に示す式2にしたがって回路セル51Aのシステマティック遅延ばらつき値を求める(ステップS103)。なお、遅延ばらつき値とは、回路セルの最大偏差遅延時間と回路セルの基本遅延時間との差分が基本遅延時間にしめる割合を言う。
システマティックばらつきではプロセス特性は一様に変化するため、最悪信号遅延時間XNは、最大偏差信号遅延時間Xとみなすことができる。また、遅延ばらつき算出部24は、基本信号遅延時間X0とランダムばらつきのプロセス特性から算出した最悪信号遅延時間XNと、基本信号遅延時間X0を用いて、下記に示す式9にしたがってN段の回路セル51Aのランダム遅延ばらつき値を求める(ステップS103)。図4では、システマティック遅延ばらつきと、ランダム遅延ばらつきとを合わせて「遅延時間分布」と称している。
Figure 0005304088
以下に、上記式1を用いて、N段の回路セル51Aのシステマティック遅延ばらつきを求めるために使用される式2の導出を説明する。
式1は、ばらつき0の信号遅延時間つまり基本信号遅延時間X0とシステマティックにプロセスが3σばらついたときの最大偏差信号遅延時間Xとの差分値YSを求める式である。上述したようにシステマティックばらつきではすべての素子の特性が一様に変化するため最悪信号遅延時間XNがシステマティックばらつきの傾向を適切に表現している。システマティック遅延ばらつき値VSは遅延時間差分値YSの基本信号遅延時間X0に対する割合、式2となる。
以下に、上記式3〜8を用いて、N段の回路セル51Aのランダム遅延ばらつきを求めるために使用される式9の導出を説明する。プロセス特性がランダムにばらつくことによって生じるランダム遅延ばらつきは一般に段数が増えるほど遅延ばらつきが小さくなるという性質をもっている。そのため、ランダム遅延ばらつき値を算出するときには回路に含まれるゲート段数が重要となる。回路パス51Aに含まれる全段数、又は、全素子数をNとし基本信号遅延時間X0をN段の基本信号遅延時間、最悪信号遅延時間XNをN段の最悪信号遅延時間とする。ここで簡単のため各段の遅延時間が一定であると仮定し、1段の基本信号遅延時間をX10、1段の最悪信号遅延時間をX1とする。
上記信号遅延時間算出部22で算出されたランダムばらつき時の基本信号遅延時間は式4で表される。式3は、1段分の最大信号偏差時間でありこれは最悪信号遅延時間X1と同等とみなすことができる。上記信号遅延時間算出部22で算出されたランダムばらつき時の最大偏差信号遅延時間は式5で表される。ここで、基本信号遅延時間X0との差分YRに注目する。式6は信号遅延時間算出部22で算出された最悪信号遅延時間XNを表している。しかし、式6は段数が増えるほど遅延ばらつきが小さくなるというランダムばらつきの性質を反映していない。したがって、上記信号遅延時間算出部22で算出されたランダムばらつき時の最悪信号遅延時間は最大偏差信号遅延時間としては過大に評価されている。
そのため、本実施例では、複数誤差が連続して伝播する場合の誤差伝播を推定するために使用される「誤差伝播の法則」にしたがって、回路パスを伝播して生じるN段の回路セルの最大偏差信号遅延時間の基本信号遅延時間からの差分値YRを、1段での差分値Y1のN段分の二乗平均とする。
本実施例では、回路パスの信号遅延時間の差分値YRは、差分値Y1の二乗平均とするので、差分値YRは式7となる。式8はYRをX0とXNを用いてあらわしたものである。したがって、N段の素子を有する回路パス51Aのランダム遅延ばらつき値を式9のように導出することができる。
また、式9の右辺に示されるように、回路パスの遅延ばらつきは、分母にN段の平方根を有する。したがって、式9は、Nの値が大きくなるほど、遅延ばらつき値における段数誤差の影響は小さくなる。そのため、回路セルの段数が多い場合、遅延ばらつき値を算出する際のN値の精度が多少低くなっても遅延ばらつき値への影響は小さくなる。
したがって、N値の算出方法としては遅延ばらつき値対象パスのゲート段数を数えることがのぞましいが、算出部24において、信号遅延時間算出部22で算出した回路パス51A内の基本信号遅延時間を、1段あたりの信号遅延時間の平均値で除算して段数Nを求めることができる。この場合、段数Nを一つ一つカウントせずにN段の回路セルの遅延ばらつき値を求めることができる。
遅延ばらつき算出部24は、設計データ32を用いて集積回路50又は回路パス51Aにおいて最も頻繁に使用されている論理ゲート素子の信号遅延時間を1段あたりの信号遅延時間として使用することができる。1段あたりの信号遅延時間を算出するために集積回路50と回路パス51Aのどちらのデータを使用するかは設計者が決めることができる。前者は集積回路50に含まれるすべての回路パスの段数Nを見積もる時にここで算出した信号遅延時間を使用できるという利点がある。後者は回路51Aに特化して段数Nを見積もっているので前者よりも回路パス51Aに対しての段数Nの精度が高くなる。
また、遅延ばらつき算出部24は、設計データ32を用いて集積回路50又は回路パス51A内の全論理ゲート素子の基本遅延時間を算出し1段あたりの信号遅延時間とすることができる。この場合も、回路パス内の素子を一つ一つカウントせずにN段の回路セルの遅延ばらつきを求めることができ、集積回路50と回路パス51Aのどちらのデータを使用するかは設計者が決めることができる。例えば、設計者は、N段の回路セルの信号遅延時間の総和を、信号遅延時間の最も長い回路セル又は最も個数の多い種類の回路セルの信号遅延時間で除算して段数Nを決めることができる。
このように、本実施例によれば、N段の回路セルの遅延ばらつき値をより簡易に求めることもできる。
統計的遅延データ36には、回路パス51Aの遅延ばらつき値が含まれる。また、システマティックばらつき時及びランダムばらつき時におけるすべての回路パスの遅延ばらつき値が統計的遅延データ36に含まれる。
統計的遅延計算部26は、統計的遅延データ36を±3σの確率変数とする正規分布である遅延時間分布を生成する(ステップS104)。図5(b)に、遅延時間分布として、遅延ばらつきの確率密度関数の一例を示す。基本遅延時間が図5(b)の平均値に相当する。統計的遅延計算部26は設計データ32と信号遅延データ34と統計的遅延データ36を入力データとしSSTAを用いて集積回路50の遅延解析を行う。
タイミングチェック部28は、統計的遅延計算部26で算出した結果を表示部42に表示することで、LSI設計を行う作業員に、集積回路50の遅延時間と歩留まりの関係(図9)やパスの遅延時間分布などを提示することができる。これにより、回路セルベースでLSI設計を行うユーザは、集積回路50が所望の歩留まりを満たすか否かを判断することができる。
次に、図6を用いて、遅延ばらつき解析装置10によるSSTAを用いた回路の遅延解析の処理フローの一例を説明する。
従来、SSTAを行うためにはすべての回路セル又はすべての素子の確率分布を求めるためにモンテカルロ解析を行う必要があった。しかしながら、本実施例では、N段の回路セルの確率分布を簡易に生成することができるため、そのN段の回路セルの確率分布を用いてN段の回路セルを複数含む回路(例えば、集積回路等)のSSTAをより簡易に行うことができる。
統計的遅延計算部26は、複数のN段回路セルの遅延時間分布(統計的遅延データ36)を用いて、統計的遅延算出処理としてSSTAの処理が行われる(ステップS201)。
SSTAの処理の一例として、図7にN段の回路セルが直列に接続する回路51A、回路51B及びその確率分布、図8に並列に接続する回路51C、回路51D及びその確率分布を示す。
図7(a)に示すように、回路51A、51Bが直列に接続される場合、2つの回路51A、51Bの遅延時間分布をそれぞれf1及びf2で表すと、回路50Aの遅延時間分布f3は、いわゆる統計的和と呼ばれる下記式10で示す演算により求めることができる。
一方、図8の(a)に示すように、回路51C、51Dが合流する場合は、2つの回路51C、51Dの遅延時間分布をそれぞれf4及びf5で表すと、回路50Bの遅延時間分布f6は、いわゆる統計的MAXと呼ばれる下記式11で示す演算で求めることができる。
Figure 0005304088
なお、算出された統計的和演算による遅延時間分布f3、f6は、統計的遅延データ36として記憶部30に格納され、上記した演算処理を繰り返し行うことなく参照利用することもできる。
次に、タイミングチェック部28は、算出した回路の遅延時間分布f3又はf6に基づいて、統計的タイミングチェックを行う(ステップS202)。図9を用いて、統計的タイミングチェックについて説明する。図9では、遅延時間の確率分布関数の一例が示される。
タイミングチェック部28は、遅延時間分布f3又はf6において、±3σのときの遅延ばらつきに対応する遅延時間を±3σとする遅延時間の確率密度分布90を、タイミングレポートとして作成することができる(ステップS203)。この遅延時間の確率密度分布90は、タイミングチェック部28によって、記憶部30にタイミングレポート38として格納され、上記した算出処理を繰り返すことなく参照利用することもできる。
図9を用いることで、所望の遅延時間を満たした時の歩留まりを得ることができる。例えば、回路50の所望の遅延時間が、480psの場合、回路50の歩留まりはほぼ100%になる。
図10を用いて、SSTAとSTAとを両方行う遅延解析フローの一例について説明する。この実施例では、図6で説明したSSTAの遅延時間と、STAの遅延時間とを比較したタイミングレポートを作成することで、SSTAで算出した遅延時間の信頼性を判断することができる。
まず、同じ設計データを用いて、STA並びにSSTAに必要なプロセス特性を用いて遅延時間計算(ステップS101〜102)が行われる。SSTAでは、図4及び図6を用いて説明した処理が行われる(ステップS103、S104、S201、S202)。
STAでは、ステップS101〜102で算出された回路セルの遅延時間を用いて回路全体のパスの遅延時間を算出する(ステップS301)。タイミングチェック部28は、ステップS301で算出されたすべてのパスの遅延時間を集計し所望の性能での動作確認を行う(ステップS302)。SSTAを用いたタイミングチェックとSTAを用いたタイミングチェックを併記したタイミングレポートが作成される(ステップS304)。
上記したようにSTAでは、遅延時間の最悪値に基づくばらつき値を全段分積算して算出するため、所望の性能を満たすための設計マージンが過剰になる。したがって、SSTAで算出されたパスの遅延時間と、STAで算出されたパスの遅延時間分布とを見比べることで、ばらつきに強いパスやばらつきに弱いパスを見極めることができたり、目標性能に到達するためにどのパスの遅延時間を改善すれば効果的なのか、或いはSTAでどのパスの設計マージンが過剰に見積もられているのかを判断することが出来る。
図1は、遅延ばらつき解析装置の一例を示す図である。 図2は、遅延ばらつきの算出対象となる設計データの一例を示す図である。 図3は、プロセス特性データの一例を示す図である。 図4は、遅延ばらつき解析装置による信号遅延時間及び遅延ばらつき算出処理の一例を示すフローチャートである。 図5(a)は、プロセス特性を確率変数とする正規分布の一例を示し、図5(b)は、遅延ばらつきを確率変数とする正規分布の一例を示す図である。 図6は、遅延ばらつき解析装置による統計的遅延解析処理のフローの一例を示すフローチャートである。 図7は、直列に接続する回路及びその遅延時間分布の一例を示す図である。 図8は、並列に接続する回路及びその遅延時間分布の一例を示す図である。 図9は、遅延時間と歩留まりの関係の一例を示す図である。 図10は、遅延ばらつき解析装置によるSSTAとSTAとを両方行う遅延解析フローの一例を示す図である。
符号の説明
10 遅延ばらつき解析装置
20 処理部
22 遅延時間算出部
24 ばらつき値算出部
26 統計的遅延解析部
28 タイミングチェック部
30 記憶部
42 表示部
43 入力部

Claims (12)

  1. 演算手段によって複数段の回路の遅延時間分布を解析する方法であって、
    前記演算手段が、前記複数段の回路に含まれる各段の回路を伝播する信号の最大偏差遅延時間および基本遅延時間を算出する遅延時間算出ステップと、
    前記演算手段が、前記複数段の回路の基本遅延時間の総和を算出するステップと、
    前記演算手段が、前記複数段の回路の基本遅延時間の総和を、基本遅延時間の最も長い回路又は最も個数の多い種類の回路の基本遅延時間で除算して段数を求めるステップと、
    前記演算手段が、前記複数段の回路に含まれる各段の回路の最大偏差遅延時間と前記複数段の回路に含まれる各段の回路の基本遅延時間との差分についての前記求められた段数分の二乗平均を用いて、前記複数段の回路の遅延ばらつき値を算出する遅延ばらつき算出ステップと、
    前記演算手段が、前記算出された遅延ばらつき値を用いて、前記複数段の回路の遅延時間分布を正規分布として生成するステップと、
    を有することを特徴とする解析方法。
  2. 前記遅延ばらつき算出ステップは、前記複数段の回路の最大偏差遅延時間と前記複数段の回路の基本遅延時間との差分を、前記複数段の回路の基本遅延時間と段数の平方根との積で除算することによって、前記複数段の回路の遅延ばらつき値を算出する請求項1に記載の解析方法。
  3. 前記遅延時間分布を用いて、前記複数段の回路を複数有する回路の遅延ばらつき値を算出するために、統計的タイミング解析を行うステップと、をさらに有する請求項1又は2に記載の解析方法。
  4. 演算手段によって複数段の回路の遅延時間分布を解析する方法であって、
    前記演算手段が、前記複数段の回路に含まれる各段の回路を伝播する信号の最大偏差遅延時間および基本遅延時間を算出する遅延時間算出ステップと、
    前記演算手段が、前記複数段の回路の基本遅延時間の総和を算出するステップと、
    前記演算手段が、前記複数段の回路に含まれる各段の回路の基本遅延時間の平均値を算出し、前記複数段の回路の基本遅延時間の総和を前記平均値で除算して前記段数を求めるステップと、
    前記演算手段が、前記複数段の回路に含まれる各段の回路の最大偏差遅延時間と前記複数段の回路に含まれる各段の回路の基本遅延時間との差分についての前記求められた段数分の二乗平均を用いて、前記複数段の回路の遅延ばらつき値を算出する遅延ばらつき算出ステップと、
    前記演算手段が、前記算出された遅延ばらつき値を用いて、前記複数段の回路の遅延時間分布を正規分布として生成するステップと、
    を有することを特徴とする解析方法。
  5. 前記遅延ばらつき算出ステップは、前記複数段の回路の最大偏差遅延時間と前記複数段の回路の基本遅延時間との差分を、前記複数段の回路の基本遅延時間と段数の平方根との積で除算することによって、前記複数段の回路の遅延ばらつき値を算出する請求項4に記載の解析方法。
  6. 前記遅延時間分布を用いて、前記複数段の回路を複数有する回路の遅延ばらつき値を算出するために、統計的タイミング解析を行うステップと、をさらに有する請求項4又は5に記載の解析方法。
  7. 複数段の回路の遅延時間分布を解析する解析装置であって、
    前記複数段の回路に含まれる各段の回路を伝播する信号の最大偏差遅延時間および前記複数段の回路に含まれる各段の回路の基本遅延時間を算出する遅延時間算出部と、
    前記複数段の回路の基本遅延時間の総和を算出する複数段遅延時間算出部と、
    前記複数段の回路の基本遅延時間の総和を、基本遅延時間の最も長い回路又は最も個数の多い種類の回路の基本遅延時間で除算して段数を求める段数算出部と、
    前記複数段の回路に含まれる各段の最大偏差遅延時間と前記複数段の回路に含まれる各段の基本遅延時間との差分についての前記求められた段数分の二乗平均を用いて、前記複数段の回路の遅延ばらつき値を算出する遅延ばらつき算出部と、
    前記算出された遅延ばらつき値を用いて、前記複数段の回路の遅延時間分布を正規分布として生成する統計的遅延解析部と、
    を有することを特徴とする解析装置。
  8. 前記遅延ばらつき算出部は、前記複数段の回路の最大偏差遅延時間と前記複数段の回路の基本遅延時間との差分を、前記複数段の回路の基本遅延時間と段数の平方根との積で除算することによって、前記回路の遅延ばらつき値を算出する請求項7に記載の解析装置。
  9. 前記統計的遅延解析部は、前記遅延時間分布を用いて、前記複数段の回路を複数有する回路の遅延ばらつき値を算出するために、統計的静的タイミング解析を行うタイミングチェック部と、をさらに有する請求項又は8に記載の解析装置。
  10. 複数段の回路の遅延時間分布を解析する解析装置であって、
    前記複数段の回路に含まれる各段の回路を伝播する信号の最大偏差遅延時間と、前記複数段の回路に含まれる各段の回路の基本遅延時間とを算出する遅延時間算出部と、
    前記複数段の回路の基本遅延時間の総和を算出する複数段遅延時間算出部と、
    前記複数段の回路に含まれる各段の回路の基本遅延時間の平均値を算出し、前記複数段の回路の基本遅延時間の総和を前記平均値で除算して前記段数を求める段数算出部と、
    前記複数段の回路に含まれる各段の回路の最大偏差遅延時間と前記複数段の回路に含まれる各段の回路の基本遅延時間との差分についての前記求められた段数分の二乗平均を用いて、前記複数段の回路の遅延ばらつき値を算出する遅延ばらつき算出部と、
    前記算出された遅延ばらつき値を用いて、前記複数段の回路の遅延時間分布を正規分布として生成する統計的遅延解析部と、
    を有することを特徴とする解析装置。
  11. 前記遅延ばらつき算出部は、前記複数段の回路の最大偏差遅延時間と前記複数段の回路の基本遅延時間との差分を、前記複数段の回路の基本遅延時間と段数の平方根との積で除算することによって、前記複数段の回路の遅延ばらつき値を算出する請求項10に記載の解析装置。
  12. 前記統計的遅延解析部は、前記遅延時間分布を用いて、前記複数段の回路を複数有する回路の遅延ばらつき値を算出するために、統計的静的タイミング解析を行うタイミングチェック部と、をさらに有する請求項10又は11に記載の解析装置。
JP2008198869A 2008-07-31 2008-07-31 遅延時間分布を解析する解析方法および解析装置 Expired - Fee Related JP5304088B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008198869A JP5304088B2 (ja) 2008-07-31 2008-07-31 遅延時間分布を解析する解析方法および解析装置
US12/453,357 US8078428B2 (en) 2008-07-31 2009-05-07 Delay variation analysis apparatus and delay variation calculation method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008198869A JP5304088B2 (ja) 2008-07-31 2008-07-31 遅延時間分布を解析する解析方法および解析装置

Publications (2)

Publication Number Publication Date
JP2010039575A JP2010039575A (ja) 2010-02-18
JP5304088B2 true JP5304088B2 (ja) 2013-10-02

Family

ID=41609225

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008198869A Expired - Fee Related JP5304088B2 (ja) 2008-07-31 2008-07-31 遅延時間分布を解析する解析方法および解析装置

Country Status (2)

Country Link
US (1) US8078428B2 (ja)
JP (1) JP5304088B2 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5569237B2 (ja) * 2010-08-06 2014-08-13 富士通セミコンダクター株式会社 情報処理装置、プログラム、および設計支援方法
US10757157B2 (en) * 2014-05-04 2020-08-25 Valens Semiconductor Ltd. Allocating limit to allowable end-to-end latency variation based on destination capability
US10133839B1 (en) * 2015-08-11 2018-11-20 Ansys, Inc. Systems and methods for estimating a power consumption of a register-transfer level circuit design
US10255395B2 (en) 2016-03-11 2019-04-09 Synopsys, Inc. Analyzing delay variations and transition time variations for electronic circuits

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001273351A (ja) * 2000-03-28 2001-10-05 Toshiba Corp マルチサイクルパス検証装置、検証方法及び検証プログラムを記憶したコンピュータ読み取り可能な記憶媒体
WO2003048979A2 (en) * 2001-12-07 2003-06-12 Multigig Limited Timing circuit cad
JP2004252831A (ja) * 2003-02-21 2004-09-09 Matsushita Electric Ind Co Ltd Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法
JP2005011892A (ja) 2003-06-17 2005-01-13 Matsushita Electric Ind Co Ltd Lsiの設計マージンの設定方法
JP4282437B2 (ja) * 2003-09-02 2009-06-24 Necエレクトロニクス株式会社 集積回路の遅延時間計算方法及びタイミング解析システム、遅延時間計算プログラム
US20060059446A1 (en) * 2004-09-14 2006-03-16 Chen Thomas W Sensitivity based statistical timing analysis
JP4619172B2 (ja) * 2005-03-29 2011-01-26 富士通セミコンダクター株式会社 タイミング解析方法、タイミング解析プログラム、およびタイミング解析装置
JP4761906B2 (ja) * 2005-09-27 2011-08-31 ルネサスエレクトロニクス株式会社 半導体集積回路の設計方法
JP2007183932A (ja) * 2005-12-09 2007-07-19 Fujitsu Ltd タイミング解析方法及びタイミング解析装置
US20080066025A1 (en) * 2006-09-07 2008-03-13 Masakazu Tanaka Method for analyzing characteristic of circuit included in integrated circuit based on process information and the like
JP2008102837A (ja) * 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路のタイミング解析方法及びそのタイミング解析装置

Also Published As

Publication number Publication date
US20100030516A1 (en) 2010-02-04
JP2010039575A (ja) 2010-02-18
US8078428B2 (en) 2011-12-13

Similar Documents

Publication Publication Date Title
US8112649B2 (en) Energy optimization through intentional errors
US8656331B1 (en) Timing margins for on-chip variations from sensitivity data
JP4664231B2 (ja) タイミング解析方法及びタイミング解析装置
US9177096B2 (en) Timing closure using transistor sizing in standard cells
US20070136705A1 (en) Timing analysis method and device
US8407654B2 (en) Glitch power reduction
US9740807B2 (en) Method to measure edge-rate timing penalty of digital integrated circuits
US7752582B2 (en) Method and apparatus for determining electro-migration in integrated circuit designs
KR20160147435A (ko) 집적 회로의 수율 예측 방법 및 집적 회로의 설계 최적화 방법
WO2018188081A1 (en) Pessimism reduction in static timing analysis
JP5304088B2 (ja) 遅延時間分布を解析する解析方法および解析装置
JP2010218252A (ja) 統計的タイミング解析用セルライブラリ作成装置、統計的タイミング解析装置、統計的タイミング解析用セルライブラリ作成方法および統計的タイミング解析方法
US10002225B2 (en) Static timing analysis with improved accuracy and efficiency
JP2005352787A (ja) タイミング解析方法およびタイミング解析装置
US20080209374A1 (en) Parameter Ordering For Multi-Corner Static Timing Analysis
US10311187B2 (en) Circuit design method and simulation method based on random telegraph signal noise
WO2012137652A1 (ja) Fpga設計支援システムおよびfpga設計支援方法ならびにfpga設計支援プログラム
US20130018645A1 (en) Prediction Of Circuit Performance Variations Due To Device Mismatch
Abbas et al. Yield-driven power-delay-optimal CMOS full-adder design complying with automotive product specifications of PVT variations and NBTI degradations
US10372851B2 (en) Independently projecting a canonical clock
TW202040409A (zh) 晶圓特性預測方法與電子裝置
US8301431B2 (en) Apparatus and method for accelerating simulations and designing integrated circuits and other systems
JP2010039969A (ja) クロストークノイズの判定方法およびプログラム
TWI608372B (zh) 電力狀態覆蓋度量標準及其估計方法
JP5045319B2 (ja) エラー信号パルス幅の計算方法及びプログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130325

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130528

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130610

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5304088

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees