JP4664231B2 - タイミング解析方法及びタイミング解析装置 - Google Patents

タイミング解析方法及びタイミング解析装置 Download PDF

Info

Publication number
JP4664231B2
JP4664231B2 JP2006133984A JP2006133984A JP4664231B2 JP 4664231 B2 JP4664231 B2 JP 4664231B2 JP 2006133984 A JP2006133984 A JP 2006133984A JP 2006133984 A JP2006133984 A JP 2006133984A JP 4664231 B2 JP4664231 B2 JP 4664231B2
Authority
JP
Japan
Prior art keywords
delay
timing analysis
distribution
slew rate
time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006133984A
Other languages
English (en)
Other versions
JP2007304957A (ja
Inventor
淳 木全
敏克 細野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2006133984A priority Critical patent/JP4664231B2/ja
Priority to US11/798,338 priority patent/US7793244B2/en
Publication of JP2007304957A publication Critical patent/JP2007304957A/ja
Application granted granted Critical
Publication of JP4664231B2 publication Critical patent/JP4664231B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、半導体集積回路のタイミング解析方法及びタイミング解析装置に関するものである。
半導体集積回路の開発工程において、デジタル回路のタイミング解析にスタティックタイミング解析(STA)が実施されている。スタティックタイミング解析は、回路中の素子等に対してそれぞれ割り当てられた遅延時間に基づき回路のタイミング検証が行われる。更に、近年では、タイミング解析において、統計的な解析手法が用いられるようになってきている。そして、この統計的な解析手法によって、より精度の高い遅延解析を行なうことが要求されている。
従来、半導体集積回路の開発において、論理回路の動作を確認し保証するためにタイミング解析を行っている。タイミング解析では、論理回路の各素子における遅延値を算出し、その遅延値に基づいて、パス(信号の伝達経路)における遅延累積値を算出してフリップフロップ回路(FF回路)やメモリ等の入力端子におけるパルス幅を解析するスタティックタイミング解析(STA)を実施する。そして、スタティックタイミング解析の解析結果に基づき生成されたタイミングレポートに基づいて回路修正を行う。
半導体集積回路におけるトランジスタや配線を形成するプロセスや電源電圧,温度などの各要素におけるばらつきは、素子の遅延時間に影響を与える。このため、上記の遅延値を算出する工程において、チップにおける各要素のばらつき(OCV)の係数を考慮した遅延値を算出する。この算出した遅延値によりスタティックタイミング解析を実施することで、チップ内のばらつきが発生しても半導体集積回路が正常に動作可能であるか否かを検証する。
ところで、上記のタイミング解析では、パスを構成する複数のインスタンス(セル)における遅延のばらつきを信号の伝達順序に従って累積し、その累積値に基づいてタイミング解析を行っている。このため、実際の回路ではあり得ない条件、つまり非常に厳しい条件でタイミング検証を行っているため、タイミングの収束が困難になり、設計開発に長い期間を要していた。
近年では、要因毎のばらつきを統計的な確率として扱い、タイミング解析を行う方法がある(例えば、特許文献1参照)。この方法によれば、タイミング検証を行う条件を緩和し、悲観性を軽減することができる。
特開2005−019524号公報
しかしながら、上記特許文献1に開示された解析方法では、回路内のセルの特性分布をモンテカルロ解析などにより抽出しているが、チップ上における各素子の位置に対する遅延の相関関係が考慮されていないため、実際のチップにおけるタイミングとの相関がなく、タイミング解析の精度が低くなる場合があった。また、各セル固有の特性による遅延、入出力スルーレート、及び複数のセルから構成されるパス全体の遅延が考慮されていないため、タイミング解析の精度が低くなる場合があった。
本発明は上記問題点を解決するためになされたものであって、その目的は、精度良く遅延分布解析を行うことができるタイミング解析装置及びタイミング解析方法を提供することにある。
上記目的を達成するため、請求項1,5に記載の発明によれば、前記入力スルーレート、前記出力容量、各インスタンスにおける遅延時間及び遷移時間の確率分布と、予め算出されたインスタンス毎の遅延時間と遷移時間の相関関係に基づいてインスタンスにおける遅延分布を算出する。このとき、遅延時間と遷移時間の相関を扱うためのパラメータを算出し、該パラメータを参照して入力スルーレート及び出力容量に応じた各インスタンスにおける遅延分布を算出することにより、前記パスにより伝達される信号に対する統計的タイミング解析が実施される。インスタンスにおける遅延時間の分布は、入力スルーレートと強い相関がある。また、インスタンスの出力信号における遷移時間の分布もまた、入力スルーレートと強い相関がある。従って、インスタンスにおける遅延時間と遷移時間の相関関係を考慮したタイミング解析を実施することで、パスを構成する各インスタンスにおけるスルーレートの伝播を扱うことが可能となり、精度良く遅延分布解析を行うことができる。
請求項2,6に記載の発明によれば、ライブラリデータから取得した入力スルーレートと出力容量、遅延時間及び遷移時間の中心値と標準偏差、及び遅延時間と遷移時間の相関係数に基づいて、インスタンス毎に遅延時間と遷移時間の相関を扱うためのパラメータを算出し、そのパラメータに基づいて所定算出式により遅延分布を算出することで、シミュレーション等を行う必要がなく、短時間で遅延分布を得ることができる。
請求項3,7に記載の発明によれば、前記遅延時間及び遷移時間の確率分布は、インスタンスにおける入力スルーレートに基づいて算出されたものであるため、入力スルーレートと強い相関がある遅延時間及び遷移時間の確率分布のデータを得ることができる。
請求項4,8に記載の発明によれば、前記パスを構成するインスタンスの位置情報と、インスタンス間の距離に対する相関テーブルとに基づいて、インスタンス間に対応する位置相関係数が算出され、前記遅延分布を計算するステップにおいて、前記位置相関係数を遅延解析におけるばらつき量に加えて前記遅延分布を算出される。物理的に、チップ内のインスタンス間には、遅延時間に対して距離の相関がある。従って、各インスタンスの位置に応じて遅延分布を算出することで、実際のチップに対応する遅延解析を行うことができ、精度の高い遅延解析を行うことができる。
本発明によれば、精度良く遅延分布解析を行うことが可能なタイミング解析装置及びタイミング解析方法を提供することができる。
以下、本発明を具体化した実施の形態を図面に従って説明する。
図2は、タイミング解析装置11の概略構成図である。
タイミング解析装置11は一般的なCAD(Computer Aided Design) 装置からなり、中央処理装置(以下、CPU)12、メモリ13、記憶装置14、表示装置15、入力装置16、及びドライブ装置17を備え、それらはバス18を介して相互に接続されている。
CPU12は、メモリ13を利用してプログラムを実行し、タイミング解析に必要な処理を実現する。メモリ13には、タイミング解析の機能を提供するために必要なプログラムとデータが格納され、メモリ13としては、通常、キャッシュ・メモリ,システム・メモリ,及びディスプレイ・メモリ等(図示略)を含む。
表示装置15は、レイアウト表示、パラメータ入力画面等の表示に用いられ、これには通常、CRT,LCD,PDP等(図示略)が用いられる。入力装置16は、ユーザからの要求や指示、パラメータの入力に用いられ、これにはキーボード及びマウス装置等(図示略)が用いられる。
記憶装置14は、通常、磁気ディスク装置,光ディスク装置,光磁気ディスク装置等(図示略)を含む。記憶装置14には、図1,3,4に示すタイミング解析処理のためのプログラムデータ(以下、プログラム)及び各種のデータファイル(以下、ファイル)31〜39,52、テーブル51が格納される。CPU12は、入力装置16による指示に応答してプログラムや各種ファイルに格納されるデータを適宜メモリ13へ転送し、プログラムを逐次実行する。そして、CPU12は、プログラムの実行に必要なファイルの読み込み、プログラムの実行によるファイルやデータの作成を、記憶装置14に対して行う。この記憶装置14は、データベースとしても使用される。
CPU12が実行するプログラムは、記録媒体19にて提供される。ドライブ装置17は、記録媒体19を駆動し、その記憶内容にアクセスする。CPU12は、ドライブ装置17を介して記録媒体19からプログラムを読み出し、それを記憶装置14にインストールする。
記録媒体19としては、メモリカード,フレキシブルディスク,光ディスク(CD-ROM,DVD-ROM,… ),光磁気ディスク(MO,MD,…)等(図示略)、任意のコンピュータ読み取り可能な記録媒体を使用することができる。尚、半導体メモリや外部接続されるハードディスク装置等が用いられても良い。この記録媒体19に、上述のプログラムを格納しておき、必要に応じて、メモリ13にロードして使用することもできる。
尚、記録媒体19には、通信媒体を介してアップロード又はダウンロードされたプログラムを記録した媒体、ディスク装置、通信媒体を介してタイミング解析装置11(コンピュータ)が接続されるサーバ装置の記憶装置、等を含む。更に、コンピュータによって直接実行可能なプログラムを記録した記録媒体だけでなく、自身又は他の記録媒体(ハードディスク等)にインストールすることによって実行可能となるようなプログラムを記録した記録媒体や、暗号化されたり、圧縮されたりしたプログラムを記録した記録媒体も含む。
図1は、タイミング解析処理のフローチャートである。このステップ21〜25の各処理を含むタイミング解析処理は、図2に示すタイミング解析装置11により実行される。
遅延計算処理(ステップ21)において、タイミング解析装置11は、寄生情報ファイル31から配線寄生容量などの寄生情報を読み込み、セットアップファイル32からオンチップばらつきのマージンを含む情報を読み込み、セルライブラリ33を参照し、着目パスの各回路要素におけるディレイ値(遅延情報)を抽出する。そして、タイミング解析装置11は、遅延情報を含むファイル34と、入力スルーレート,出力容量を含むログファイル35を作成する。
次に、静的タイミング解析処理(STA:Static Timing Analyze)(ステップ22)において、タイミング解析装置11は、ファイル34の遅延情報とファイル36の設計制約を読み込み、それらに基づいてタイミング解析処理を実行する。そして、タイミング解析装置11は、ステップ22における解析結果に基づいてタイミングリスト37を生成する。
次に、遅延分布計算処理(ステップ23)において、タイミング解析装置11は、セルライブラリ33の遅延時間と遷移時間の相関データと、ファイル38の位置情報と、ログファイル35の入力スルーレート及び出力容量とに基づいて、セル間の位置相関を扱うためのパラメータとスルーレート伝播、及び遅延時間と遷移時間の相関を扱うためのパラメータを計算する。位置情報はチップにおける各セルの位置であり、ファイル38として例えばレイアウトデータが用いられる。更に、タイミング解析装置11は、位置情報と距離相関テーブルとに基づいて位置相関係数を算出する。そして、タイミング解析装置11は、算出したパラメータ及びテーブルを参照して、入力スルーレートと出力容量に応じた遅延分布を求める。この遅延分布には、スルーレートのバラツキ、セルにおける遅延時間、遷移時間の相関係数、セルの位置に対する位置相関係数が加えられている。
次に、統計的静的タイミング解析処理(SSTA:Statistical STA)(ステップ24)において、タイミング解析装置11は、ステップ22において生成したタイミングリスト37と、ステップ23において算出した遅延分布とに基づいて、統計的静的タイミング解析を行う。統計的静的タイミング解析として、近似方式が用いられる。そして、タイミング解析装置11は、解析結果に基づいてファイル39を生成する。
この時、ステップ23において算出した遅延分布には、セルのスルーレートのバラツキ、セルにおける遅延時間と出力信号の遷移時間の相関係数が考慮されている。従って、モンテカルロ法によるシミュレーション結果に対して精度の高い遅延解析を行うことができる。
更に、遅延分布には、セルの配置位置に対する相関係数が考慮されている。従って、配置依存性が大きい半導体チップの設計において、作成されるチップの特性と近い特性結果が得られる、つまり、遅延解析の精度を向上することができる。
次に、回路修正処理(ECO)(ステップ25)において、タイミング解析装置11は、ステップ25にて生成した解析結果に基づいて、修正が必要なネットに対して、配線経路の変更(セルの配置位置、パス上へのバッファの追加)等の修正処理を実行する。
上記したように、各インスタンスにおける遅延時間と出力信号における遷移時間の確率分布の相関関係を考慮して、入力スルーレートの伝播を扱うことが可能となる、つまりパスを構成する複数のインスタンスにおける波形なまりの確率分布を伝播させて解析することができるため、精度の高い解析を行なうことができる。この結果、タイミング解析における解析結果の信頼性が向上し、タイミング品質を確保した設計・修正が可能となる。
図3は、位置相関係数算出処理のフローチャートである。
タイミング解析装置11は、図1の遅延分布計算(ステップ23)にてセル間の位置相関を扱うためのパラメータを計算する。
タイミング解析装置11は、ファイル38の位置情報と、距離相関テーブル51とを入力する。そして、タイミング解析装置11は、ステップ41において、各インスタンス(セル)の座標値と距離相関テーブルからインスタンス(セル)間の位置相関係数を算出し、その位置相関係数をファイル52に格納する。
図4は、パラメータ算出処理のフローチャートである。
タイミング解析装置11は、図1の遅延分布計算(ステップ23)にてスルーレート伝播、及び遅延時間と遷移時間の相関を扱うためのパラメータを計算する。
先ず、タイミング解析装置11は、ステップ61において、オプションデータを入力する。
次に、タイミング解析装置11は、ステップ62において、ログファイル35からインスタンス名とセル名とピン名、および入力スルーレート(Slew)と実効容量(Ceffective)を取得する。
次に、タイミング解析装置11は、ステップ63において、ライブラリパーサ71を介してセルのライブラリデータを入力し、ステップ64において、ライブラリデータのセル名とピン名に該当するテーブルから、入力スルーレートと実効容量に対する中心値(μ)と標準偏差(σ)と相関係数(γ)の値を取得する。ライブラリパーサ71は、ライブラリのデータ形式を、ステップ63において利用する形式に変換する。
次に、タイミング解析装置11は、ステップ65において、入力スルーレートと実効容量の抽出ポイント、中心値と標準偏差と相関係数のテーブル値より、インスタンス毎に入力スルーレート一次式のパラメータを算出する。そして、タイミング解析装置11は、算出したパラメータをファイル72に格納する。
次に、タイミング解析装置11は、ステップ66において、全てのインスタンスについてパラメータの算出を終了したか否かを判断する。そして、全てのインスタンスに対する算出を終了した場合、タイミング解析装置11は、ステップ67に移行し、算出するインスタンスが残っている場合、タイミング解析装置11は、ステップ63に移行する。即ち、タイミング解析装置11は、ステップ63〜65の処理を繰り返し実行し、全てのインスタンスに対するパラメータを算出する。
次に、タイミング解析装置11は、ステップ67において、サマリリスト73を出力した後、処理を終了する。
次に、遅延解析処理を順次説明する。
今、図5に示すパス80における遅延(パス遅延)について説明する。
パス80は、端子81の信号Aをセル82まで伝播するパスであり、直列に接続された3つのセル(図5ではインバータ回路)83〜85を備えている。このパス80において、信号Aと最終段のセル82の入力信号Bの時間差がパス遅延であり、このパス遅延は、セル83〜85の特性及び位置関係によるものである。このため、各セル83〜85のそれぞれにおける遅延のばらつき分布91〜93を考慮しなければならない。
図6に示すように、セル101において、入力信号Sinに対する出力信号Soutの遅延時間は、入力信号Siのスルーレートと強い相関関係にある。また、出力信号Soutの遷移時間(transition)もまた、入力信号Sinのスルーレートと強い相関関係にある。このため、セル101における遅延時間とその分布を算出するためには、入力信号Sinのスルーレートとその分布を考慮しなければならない。
従って、図5において、初段のセル83の遅延ばらつきによるそのセル83の出力信号A1における遷移時間の分布94は、セル83における入力スルーレート、つまり信号Aのスルーレートと、セル83の遅延時間により算出された中心値と分布値により決定される。同様に、2段目のセル84の出力信号A2における遷移時間の分布95は、そのセル84における入力スルーレート、つまり初段のセル83の出力信号A1における遷移時間により決定される。
このため、パス80における遅延時間を算出するためには、各セル83〜85における入力スルーレートの分布(中心値(mean)及び分布(sigma )、遅延時間と遷移時間の相関関係を表す値を用いて統計的遅延解析を行う、つまりパス80を構成するセル83〜85によるスルーレートの伝播を扱わなければならない。
また、パス80において、レイアウト依存、つまり各セル83〜85の位置あるいは距離によって、遅延時間のばらつきに対するセル間の相関の有無を考慮しなければいけない場合がある。このため、パス80を構成するセル82〜85及び入力端子81の位置相関を考慮しなければならない。
タイミング解析において、図7に示すように、セル111の遅延時間は、該セル111に対する負荷容量112と入力信号Sinのスルーレートとに基づいて算出される。これは、図8(a)に示すように、入力信号Sinのスルーレート(slew)とセル111の遅延時間(delay)とに強い相関関係が存在するため、遅延時間を精度良く算出するためには、入力信号Sinのスルーレートを考慮しなければならないためである。パスの遅延を解析する場合、セル111の出力信号における遷移時間を考慮する必要がある。そして、図8(b)に示すように、入力信号Sinのスルーレート(slew)と遷移時間(transition)とに強い相関関係が存在するため、パスの遅延時間を精度良く算出するためには、更に遷移時間を考慮しなければならない。
次に、遅延時間と遷移時間の相関について説明する。
遅延時間と遷移時間は、同じセルにおける出力特性であるため、遅延時間と遷移時間とに相関関係が存在する。従って、この相関関係を考慮しなければ、発生する誤差が大きくなって精度の高い解析を行うことができない。
セルにおける遅延時間と遷移時間の相関は、各セルの入力スルーレートと出力容量を変更することによって予め算出される。例えば、図7に示すセル111(インバータ回路)の場合、このセル111は、図9に示すように、PチャネルMOSトランジスタTpとNチャネルMOSトランジスタTnとから構成される。出力信号の立ち上がりにおける遅延時間と遷移時間の分布は、両トランジスタTp,Tnのゲート長、しきい値電圧Vthを変更することにより、求められる。その結果、図11に示すように、遅延時間と遷移時間との相関関係の分布121が得られる。この分布121は、図10(a)に示す遅延時間の分布121aと、図10(b)に示す遷移時間の分布121bとに基づいて得られる。
そして、例えばセルが多段の回路構成の場合、図11に示す分布121が得られ、段数が少ないセルの場合、図12に示す分布122が得られる。図11に示す分布121に対して、図12に示す分布122は、分布の幅が狭い、つまり遷移時間と遅延時間の相関が、図11に示す分布121に比べて強い。このように、相関がある遷移時間と遅延時間の分布が、セルの構成に応じて異なるため、セル毎に分布を求めておく。
次に、セルの位置相関について説明する。
図13に示すように、物理的にチップ内のセル間には距離との相関があることが分かっている。この距離の相関を、図14に示すように、直交する2軸の距離に対応するマトリックステーブル(距離相関テーブル)51を作成しておく。そして、このテーブル51に基づいて、遅延解析時にばらつき量を算出する。
次に、ライブラリの抽出について説明する。
例えば、図7に示すセル111及び負荷容量112において、入出力特性は、図15(a)に示すように、入力信号Sinの立ち上がりに対する出力信号Soutの立ち下がりの特性と、図15(b)に示すように、入力信号Sinの立ち下がりに対する出力信号Soutの立ち上がりの特性がある。それぞれの特性に対して、分布の中心値(Mean value)μ、標準偏差(Standard deviation)σ、相関係数(Correlation coefficient)γを、次式によりそれぞれ求める。尚、各式において、nは度数であり、対象セルにおいてプロセスばらつき(ゲート長L、しきい値電圧Vth、等)を変更する数である。
Figure 0004664231
Figure 0004664231
Figure 0004664231
図17(a)には、上記のようにして求めた遅延時間分布の中心値μの特性を示す。図17(b)には、上記のようにして求めた遷移時間分布の中心値μの特性を示す。図17(c)には、上記のようにして求めた遅延時間分布の標準偏差σの特性を示す。図17(d)には、上記のようにして求めた遷移時間分布の標準偏差σの特性を示す。図17(e)には、上記のようにして求めた遅延分布の相関係数γの特性を示す。上記各特性のテーブルをライブラリデータに格納する。
次に、図1のステップ24の統計的静的タイミング解析処理(SSTA:Statistical STA)における計算方法を説明する。
遅延時間の確率分布および遷移時間の確率分布を入力スルーレートに対する一次式近似関数としてモデル化する。
先ず、一次式近似でモデル化した関数のパラメータ(入力スルーレートに対する係数)を求める。
遅延時間の確率分布dは、
d=(A*s_in+B)*(a*r1+b*r2)+(C*s_in+D)
となり、遷移時間の確率分布sは、
s=(P*s_in+Q)*(b*r1+a*r2)+(R*s_in+S)
となる。尚、パラメータA,Bは入力スルーレートに対する出力遅延標準偏差係数であり、パラメータC,Dは入力スルーレートに対する出力遅延平均係数であり、パラメータP,Qは入力スルーレートに対する出力スルーレート標準偏差係数である。また、パラメータR,Sは入力スルーレートに対する出力スルーレート平均係数であり、パラメータK,Lは入力スルーレートに対するセルの遅延時間及び出力スルーレートの相関の係数である。また、ρ=K*s_in+L、a=(sqrt(1+ρ)+sqrt(1-ρ))/2、b=(sqrt(1+ρ)-sqrt(1-ρ))/2、であり、r1,r2は、平均0,標準偏差1の互いに独立な確率関数である。
次に、パラメータと入力スルーレートの中心値(mean)と標準偏差(sigma )より、各セルの遅延時間の標準偏差(σ_d)を次式より求める。
(σ_d)^2=(A*σs_in)^2+(C*σs_in)^2+(A*μs_in+B)^2+2*C*σd_in*σs_in*ρ_in
尚、μs_inは入力スルーレートの平均、σs_inは入力スルーレートの標準偏差、σd_inは遅延時間の標準偏差、ρ_inは入力スルーレート及び遅延時間の相関係数である。上記式において、「^2」は2乗を示す。
次に、パスにおける次段のセルに対する計算のため、総遅延時間と出力スルーレートの相関係数(ρ_ot )は、
ρ_ot=cov(d,s_ot)/(σd*σs_ot)、
cov(d,s_ot)=A*P*(K*μs_in+L)*(σs_in^2)+(A*Q+B*P+2*A*P*μs_in)*K*(σs_in^2)+(A*μs_in+B)*(P*μs_in+Q)*(K*μs_in+L)+C*R*(σs_in^2)+ρ_in*R*σs_in*σd_in、
(σs_ot)^2=(P*σs_in)^2+(R*σs_in)^2+(P*μs_in+Q)^2
により算出される。尚、cov(d,s_ot)は、セルにおける共分散である。
次に、畳み込み演算にて統計的遅延解析を行う。
上記の計算方法を、図16に示すパス130を構成するセル131〜133に基づいて説明する。
今、パス130により伝達される信号の供給元からセル131とセル132との間のノードN1までの遅延時間をdとし、セル132,133の遅延時間をそれぞれda,dbとする。各セル132,133における遅延時間を単純に考慮した場合、セル132とセル133との間のノードN2までの遅延時間はd+daとなり、セル133の出力端子側のノードN3までの遅延時間は(d+da)+dbとなる。
先ず、セル132までの遅延時間(d)とセル132の遅延時間daとの相関係数を求める。
ノードN1までの遅延時間dと、セル132の遅延時間daのバラツキモデルの関数は、
d=m(d)+A1*r1+B1*r、
da=m(da)+A2*r2+B2*r
となる。尚、m(d),m(da)はd,daの平均、A1,A2,B1,B2は感度係数、r1はdのランダムバラツキ成分(平均0,分散1)、r2はdaのランダムバラツキ成分(平均0,分散1)、rはd,daの相関バラツキ成分(平均0,分散1)、r,r1,r2は互いに独立な確率関数である。
このとき、遅延時間dの標準偏差σ(d)、遅延時間daの標準偏差σ(da)、遅延時間d,daの相関係数ρ(d,da)は、
σ(d)=sqrt(A1^2+B1^2)、
σ(da)=sqrt(A2^2+B2^2)、
ρ(d,da)=B1*B2/(σ(d)*σ(da))
となる。
よって、図16のノードN1までの遅延時間(d+da)は、
d+da=m(d)+m(da)+A1*r1+A2*r2+(B1+B2)*r
となり、遅延時間(d+da)の平均は、
m(d+da)=m(d)+m(da)
となり、遅延時間(d+da)の標準偏差σ(d+da)は、
σ(d+da)^2=A1^2+A2^2+(B1+B2)^2
=A1^2+B1^2+A2^2+B2^2+2*B1*B2
=σ(d)^2+σ(da)^2+2*σ(d)*σ(da)*ρ(d,da)
となる。この時、2*σ(d)*σ(da)*ρ(d,da)は、位置相関によるバラツキ増加分である。
次に、図16のセル133までの遅延時間とセル133の遅延時間dbとの相関係数を算出する。この場合、上記と同様に算出されるため、セル133における共分散cov(d+da,db)は、
cov(d+da,db)=cov(d,db)+cov(da,db)
となり、セル133における相関係数ρ(d+da.db)は、
ρ(d+da,db)=cov(d+da,db)/(σ(d+da)*σ(db))
=(σ(d)/σ(d+da))*ρ(d,db)+(σ(da)/σ(d+da))*ρ(da,db)
となる。
尚、以上の説明において、セルとしてインバータ回路に対する遅延解析を説明したが、アンド回路、ナンド回路、等の種々のセルに対して同様に遅延解析を実施することができる。更に、複数のセルからなるセル、例えばマクロセルについても同様に遅延解析を行うことができる。
以上記述したように、本実施形態によれば、以下の効果を奏する。
(1)タイミング解析装置11は、入力スルーレート、出力容量、各セルにおける遅延時間と遷移時間の相関関係に基づいて、インスタンスにおける遅延分布を算出する。このときに、前段の出力遅延分布と出力遷移分布の相関を考慮した入力スルーレート分布を入力することによりスルーレート分布の伝播を扱うこととなり、精度の高い遅延分布解析を行なうことができる。
(2)タイミング解析装置11は、ライブラリデータから取得した入力スルーレートと出力容量、遅延時間及び遷移時間の中心値と標準偏差の相関係数に基づいて、インスタンス毎にパラメータを算出し、そのパラメータに基づいて所定算出式により遅延分布を算出することで、シミュレーション等を行う必要がなく、短時間で遅延分布を得ることができる。
(3)タイミング解析装置11は、遅延時間及び遷移時間の確率分布は、インスタンスにおける入力スルーレートに基づいて算出されたものであるため、入力スルーレートと強い相関がある遅延時間及び遷移時間の確率分布のデータを得ることができる。
(4)タイミング解析装置11は、パスを構成するインスタンスの位置情報と、インスタンス間の距離に対する相関テーブルとに基づいて、インスタンス間に対応する位置相関係数が算出され、遅延分布を計算するステップにおいて、位置相関係数を遅延解析におけるばらつき量に加えて遅延分布を算出される。物理的に、チップ内のインスタンス間には、遅延時間に対して距離の相関がある。従って、各インスタンスの位置に応じて遅延分布を算出することで、実際のチップに対応する遅延解析を行うことができ、精度の高い遅延解析を行うことができる。
尚、上記実施の形態は、以下の態様で実施してもよい。
・上記実施の形態における算出式を適宜変更しても良い。
遅延解析処理のフローチャート。 遅延解析装置の概略構成図。 パラメータ算出処理のフローチャート。 パラメータ算出処理のフローチャート。 遅延解析の説明図。 遅延時間及び遷移時間の説明図。 スルーと遅延時間の説明図。 (a)はスルーと遅延時間の特性図、(b)はスルーと遷移時間の特性図。 インバータ回路の回路図。 (a)遅延時間ばらつきの分布図、(b)は遷移時間ばらつきの分布図。 相関が弱い場合の特性分布の説明図。 相関が強い場合の特性分布の説明図。 距離の相関を示す説明図。 距離相関テーブルの説明図。 (a)は立ち下がり特性の説明図、(b)は立ち上がり特性の説明図。 位置相関を考慮した遅延時間算出の説明図。 (a)〜(e)は分布の説明図。
符号の説明
11 タイミング解析装置
14 記憶装置
33 ライブラリ
34 ファイル
35 ログファイル
37 タイミングリスト
80,130 パス
μ 中心値
γ,ρ 相関係数
σ 標準偏差
d,s 確率分布
d,da,db 遅延時間

Claims (8)

  1. タイミング解析装置による半導体集積回路のタイミング解析方法において、
    前記タイミング解析装置の中央演算装置が、遅延計算を行い、遅延情報を含むファイルと、入力スルーレート及び出力容量を含むファイルとを生成するステップと、
    前記中央演算装置が、前記遅延情報に基づき静的タイミング解析を行い解析結果を生成するステップと、
    前記中央演算装置が、前記入力スルーレート、前記出力容量、各インスタンスにおける遅延時間及び遷移時間の確率分布と、予め算出されたインスタンス毎の遅延時間と遷移時間の相関関係に基づき、遅延時間と遷移時間の相関を扱うためのパラメータを算出し、該パラメータを参照して入力スルーレート及び出力容量に応じた各インスタンスにおける遅延分布を算出するステップと、
    前記中央演算装置が、前記解析結果と前記遅延分布に基づきパスにより伝達される信号に対する統計的タイミング解析を実施するステップと、
    を備えたことを特徴とするタイミング解析方法。
  2. 前記遅延分布を算出するステップは、
    前記中央演算装置が、ファイルから前記入力スルーレート及び出力容量を入力するステップと、
    前記中央演算装置が、ライブラリデータから、入力スルーレートと出力容量、遅延時間と遷移時間の中心値と標準偏差、及び遅延時間と遷移時間の相関係数を取得するステップと、
    前記中央演算装置が、前記相関係数に基づいて、インスタンス毎に所定算出式における遅延時間と遷移時間の相関を扱うための前記パラメータを算出し、そのパラメータを含むファイルを生成するステップと、
    を備えたことを特徴とする請求項1記載のタイミング解析方法。
  3. 前記遅延時間及び遷移時間の確率分布は、インスタンスにおける入力スルーレートに基づいて算出されたものである、ことを特徴とする請求項1又は2記載のタイミング解析方法。
  4. 前記中央演算装置が、前記パスを構成するインスタンスの位置情報と、インスタンス間の距離に対する相関テーブルとに基づいて、インスタンス間に対応する位置相関係数を算出するステップを備え、
    前記遅延分布を計算するステップにおいて、前記位置相関係数を遅延解析におけるばらつき量に加えて前記遅延分布を算出する、
    ことを特徴とする請求項1〜3のうちの何れか一項に記載のタイミング解析方法。
  5. 遅延計算を行い、遅延情報を含むファイルと、入力スルーレート及び出力容量を含むファイルとを記憶装置に記憶する手段と、
    記憶装置のファイルから前記遅延情報を読み込み、該遅延情報に基づき静的タイミング解析を行い解析結果を含むファイルを記憶装置に記憶する手段と、
    前記入力スルーレート、前記出力容量、各インスタンスにおける遅延時間及び遷移時間の確率分布と、予め算出されたインスタンス毎の遅延時間と遷移時間の相関関係に基づき、遅延時間と遷移時間の相関を扱うためのパラメータを算出し、該パラメータを参照して入力スルーレート及び出力容量に応じた各インスタンスにおける遅延分布を算出する手段と、
    前記解析結果と前記遅延分布に基づきパスにより伝達される信号に対する統計的タイミング解析を実施する手段と、
    を備えたことを特徴とするタイミング解析装置。
  6. 前記遅延分布を算出する手段は、
    記憶装置のファイルから前記入力スルーレート及び出力容量を入力する手段と、
    記憶装置のライブラリデータから、入力スルーレートと出力容量、遅延時間と遷移時間の中心値と標準偏差、及び遅延時間と遷移時間の相関係数を取得する手段と、
    前記相関係数に基づいて、インスタンス毎に所定算出式における遅延時間と遷移時間の相関を扱うための前記パラメータを算出し、そのパラメータを含むファイルを記憶装置に記憶する手段と、を備えたことを特徴とする請求項5記載のタイミング解析装置。
  7. 前記遅延時間及び遷移時間の確率分布は、インスタンスにおける入力スルーレートに基づいて算出され、記憶装置に予め記憶されたものである、ことを特徴とする請求項5又は6記載のタイミング解析装置。
  8. 前記パスを構成するインスタンスの位置情報と、インスタンス間の距離に対する相関テーブルとに基づいて、インスタンス間に対応する位置相関係数を算出する手段を備え、
    前記遅延分布を計算する手段において、前記位置相関係数を遅延解析におけるばらつき量に加えて前記遅延分布を算出する、
    ことを特徴とする請求項5〜7のうちの何れか一項に記載のタイミング解析装置。
JP2006133984A 2006-05-12 2006-05-12 タイミング解析方法及びタイミング解析装置 Expired - Fee Related JP4664231B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006133984A JP4664231B2 (ja) 2006-05-12 2006-05-12 タイミング解析方法及びタイミング解析装置
US11/798,338 US7793244B2 (en) 2006-05-12 2007-05-11 Timing analysis method and timing analysis apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006133984A JP4664231B2 (ja) 2006-05-12 2006-05-12 タイミング解析方法及びタイミング解析装置

Publications (2)

Publication Number Publication Date
JP2007304957A JP2007304957A (ja) 2007-11-22
JP4664231B2 true JP4664231B2 (ja) 2011-04-06

Family

ID=38686537

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006133984A Expired - Fee Related JP4664231B2 (ja) 2006-05-12 2006-05-12 タイミング解析方法及びタイミング解析装置

Country Status (2)

Country Link
US (1) US7793244B2 (ja)
JP (1) JP4664231B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019240345A1 (ko) * 2018-06-12 2019-12-19 연세대학교 산학협력단 Ntv 영역에서의 정적 타이밍 분석 방법 및 그 장치

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7314786B1 (en) * 2006-06-16 2008-01-01 International Business Machines Corporation Metal resistor, resistor material and method
US7600206B2 (en) * 2007-04-09 2009-10-06 Chang Gung University Method of estimating the signal delay in a VLSI circuit
US8245165B1 (en) 2008-04-11 2012-08-14 Cadence Design Systems, Inc. Methods and apparatus for waveform based variational static timing analysis
US8359558B2 (en) 2010-03-16 2013-01-22 Synopsys, Inc. Modeling of cell delay change for electronic design automation
US8909562B2 (en) * 2011-03-28 2014-12-09 Google Inc. Markov modeling of service usage patterns
US9483604B1 (en) 2015-06-15 2016-11-01 International Business Machines Corporation Variable accuracy parameter modeling in statistical timing
US10255395B2 (en) * 2016-03-11 2019-04-09 Synopsys, Inc. Analyzing delay variations and transition time variations for electronic circuits
US10073934B1 (en) 2016-10-11 2018-09-11 Cadence Design Systems, Inc. Systems and methods for statistical static timing analysis
US10185795B1 (en) * 2016-10-11 2019-01-22 Cadence Design Systems, Inc. Systems and methods for statistical static timing analysis
KR101841385B1 (ko) * 2017-01-02 2018-03-22 연세대학교 산학협력단 다중 분포들을 활용하여 라이브러리를 재구성하는 장치 및 방법
US10902166B2 (en) * 2017-12-31 2021-01-26 Arteris, Inc. System and method for isolating faults in a resilient system
CN111163006B (zh) * 2019-12-25 2021-11-09 四川速宝网络科技有限公司 一种基于波形评判的多路优选网游加速方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003196341A (ja) * 2001-12-25 2003-07-11 Nec Electronics Corp 半導体装置の設計方法
JP2005019524A (ja) * 2003-06-24 2005-01-20 Handotai Rikougaku Kenkyu Center:Kk 半導体集積回路の性能分散系算出装置及びその算出方法
JP2005122298A (ja) * 2003-10-14 2005-05-12 Fujitsu Ltd タイミング解析装置、タイミング解析方法及びプログラム

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040002844A1 (en) * 2002-06-27 2004-01-01 Jess Jochen A.G. System and method for statistical modeling and statistical timing analysis of integrated circuits
JP4774294B2 (ja) * 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003196341A (ja) * 2001-12-25 2003-07-11 Nec Electronics Corp 半導体装置の設計方法
JP2005019524A (ja) * 2003-06-24 2005-01-20 Handotai Rikougaku Kenkyu Center:Kk 半導体集積回路の性能分散系算出装置及びその算出方法
JP2005122298A (ja) * 2003-10-14 2005-05-12 Fujitsu Ltd タイミング解析装置、タイミング解析方法及びプログラム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019240345A1 (ko) * 2018-06-12 2019-12-19 연세대학교 산학협력단 Ntv 영역에서의 정적 타이밍 분석 방법 및 그 장치

Also Published As

Publication number Publication date
JP2007304957A (ja) 2007-11-22
US20070266357A1 (en) 2007-11-15
US7793244B2 (en) 2010-09-07

Similar Documents

Publication Publication Date Title
JP4664231B2 (ja) タイミング解析方法及びタイミング解析装置
US7761275B2 (en) Synthesizing current source driver model for analysis of cell characteristics
US8271256B2 (en) Physics-based MOSFET model for variational modeling
US7673260B2 (en) Modeling device variations in integrated circuit design
US20080034338A1 (en) Timing analysis method and device
US8656331B1 (en) Timing margins for on-chip variations from sensitivity data
US7793239B2 (en) Method and system of modeling leakage
US7299438B2 (en) Method and apparatus for verifying semiconductor integrated circuits
US20070136705A1 (en) Timing analysis method and device
US8914760B2 (en) Electrical hotspot detection, analysis and correction
US10789406B1 (en) Characterizing electronic component parameters including on-chip variations and moments
US8468483B2 (en) Method, system and program storage device for performing a parameterized statistical static timing analysis (SSTA) of an integrated circuit taking into account setup and hold margin interdependence
US9740807B2 (en) Method to measure edge-rate timing penalty of digital integrated circuits
US8032349B2 (en) Efficient methodology for the accurate generation of customized compact model parameters from electrical test data
CN104981805A (zh) 自动时钟树布线规则生成
US20180210986A1 (en) Method to Perform Full Accuracy Hierarchical Block Level Timing Analysis with Parameterized Chip Level Contexts
Bian et al. LSTA: Learning-based static timing analysis for high-dimensional correlated on-chip variations
US6356861B1 (en) Deriving statistical device models from worst-case files
US8813006B1 (en) Accelerated characterization of circuits for within-die process variations
US7788617B2 (en) Method of modeling and employing the CMOS gate slew and output load dependent pin capacitance during timing analysis
JP2005352787A (ja) タイミング解析方法およびタイミング解析装置
US11568113B2 (en) Variation-aware delay fault testing
US20110172979A1 (en) Circuit-level validation of computer executable device/circuit simulators
CN115688641A (zh) 一种表征标准单元片上变化参数的方法与系统
US8949764B2 (en) Excluding library cells for delay optimization in numerical synthesis

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080730

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090302

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110106

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140114

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees