JP2005122298A - タイミング解析装置、タイミング解析方法及びプログラム - Google Patents

タイミング解析装置、タイミング解析方法及びプログラム Download PDF

Info

Publication number
JP2005122298A
JP2005122298A JP2003353950A JP2003353950A JP2005122298A JP 2005122298 A JP2005122298 A JP 2005122298A JP 2003353950 A JP2003353950 A JP 2003353950A JP 2003353950 A JP2003353950 A JP 2003353950A JP 2005122298 A JP2005122298 A JP 2005122298A
Authority
JP
Japan
Prior art keywords
path
timing analysis
coefficient
variation
delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003353950A
Other languages
English (en)
Inventor
Tetsuo Kono
哲雄 河野
Satoshi Yoshikawa
聡 吉川
Toshikatsu Hosono
敏克 細野
Shigenori Ichinose
茂則 一ノ瀬
Takashi Yoneda
高志 米田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2003353950A priority Critical patent/JP2005122298A/ja
Priority to US10/807,286 priority patent/US7219320B2/en
Publication of JP2005122298A publication Critical patent/JP2005122298A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】 パスにおけるゲート段数に応じたディレイのチップ内ばらつきを考慮して正確なタイミング解析を実施できるようにする。
【解決手段】 解析対象となるパス(着目パス)におけるゲート段数に応じ、各ゲートにおけるディレイのばらつきを相殺してゲート段数に応じた着目パスにおけるOCV係数を係数演算部33にて算出し、当該ゲート段数を考慮したOCV係数を用いて、タイミング解析部37にて着目パスのタイミング解析を行うようにして、着目パスのゲート段数に応じてパス全体でのばらつき度合いを緩和し、半導体集積回路のチップ内ばらつきを考慮した正確なタイミング解析を実施することができるようにする。
【選択図】 図3

Description

本発明は、半導体集積回路のタイミング解析装置、タイミング解析方法及びプログラムに関し、特に半導体集積回路におけるスタティック・タイミング解析に用いて好適なものである。
従来、LSI等の半導体集積回路、特にデジタル回路のタイミング検証を行う手法として、スタティック・タイミング解析(STA)が用いられてきた。スタティック・タイミング解析は、論理的に実際の動作に即するようにして行う回路シミュレーションや論理シミュレーションとは異なり、回路中の素子等に対してそれぞれ割り当てられたディレイに基づき回路のタイミング検証が行われる。
すなわち、スタティック・タイミング解析は、テストパターン等を作成したりする必要がなく、パス(信号の伝達経路)における各素子等のディレイを累積してタイミング検証を行っている。スタティック・タイミング解析は、検証に要する時間が短く、かつ一度にチップ全体を包括的に解析できるため、最近では殆どの半導体集積回路の設計の検証装置の一つとしてスタティック・タイミング解析装置が用いられている。
ここで、通常、半導体集積回路内部においては素子の特性にばらつきがあり、このばらつきを考慮してスタティック・タイミング解析を実施する必要がある。現在、一般的にスタティック・タイミング解析は、素子のディレイに一律の係数を掛けることにより素子毎にばらつきを表現して実施されている(例えば、特許文献1、特許文献2参照。)。このように素子毎にディレイのばらつきを表現し、チップ内のばらつきが発生しても半導体集積回路が正常に動作可能であるか否かの検証が行われている。
例えば、従来のスタティック・タイミング解析において、ワースト条件での半導体集積回路のタイミング検証は、以下の式(19)、式(20)で示される条件を満足するか否かを検証することにより行われている。ここで、ワースト条件は、回路が低速で動く条件であり、プロセス(P)が低速、温度(T)が高く、電圧(V)が低い場合に相当する。
Figure 2005122298
同様に、ベスト条件での半導体集積回路のタイミング検証は、以下の式(21)、式(22)で示される条件を満足するか否かを検証することにより行われている。ベスト条件は、回路が高速で動く条件であり、プロセス(P)が高速、温度(T)が低く、電圧(V)が高い場合に相当する。
Figure 2005122298
なお、上記式(19)、式(21)はセットアップ時間を検証する条件式であり、上記式(20)、式(22)はホールド時間を検証する条件式である。
また、上記式(19)〜式(22)において、Cycle_Timeはクロック信号の周期、Clock_path_timeはクロックパスディレイ、Data_path_timeはデータパスディレイ、Setup_timeはセットアップ時間の規格値、Hold_timeはホールド時間の規格値、ocv_worstはワースト条件でのばらつき係数、ocv_bestはベスト条件でのばらつき係数である。係数ocv_worst及びocv_bestは、ディレイのばらつきを表現するために予め定めた一定の値である。
特開昭63−98042号公報 特開2002−222232号公報
しかしながら、上述のように素子のディレイに一律の係数を掛けて素子毎にディレイのばらつきを表現する従来の手法では、パスにおけるゲートの段数の増加に伴い過剰にマージンをとってしまうことになるという問題があった。これは、実際のチップ内における各素子でのばらつきがガウス分布(正規分布)にそれぞれ従っており、段数の増加によりパス全体としてのディレイのばらつき度合いが低くなってくるためである。
従来のスタティック・タイミング解析における上述した問題について、図11を参照して具体的に説明する。
図11は、従来のスタティック・タイミング解析におけるセットアップ時間の検証方法を説明するための模式図である。図11において、111は、クロック信号SCLKのソース(供給源)である。ソース111から出力されたクロック信号SCLKは、バッファ112〜115を介してフリップフロップ(FF)116のクロック入力端子<CLK>に入力されるとともに、バッファ119〜121を介してFF118のクロック入力端子<CLK>に入力される。
また、データ信号DATが、FF116のデータ入力端子<DI>に入力される。FF116のデータ出力端子<DO>からクロック信号SCLKに同期して出力されるデータ信号は、組み合わせ回路117を介してFF118のデータ入力端子<DI>に入力される。
なお、図11において、DS1〜DS8は各素子(説明の便宜上、組み合わせ回路も素子とする。)113〜117、119〜121のばらつき分布をそれぞれ示したものである。
従来のスタティック・タイミング解析において、FF118のセットアップ時間について検証を行う際には、図6に示すようにデータパス122上のすべての素子112〜117が遅い方にばらつき、かつクロックパス123上のすべての素子119〜121が速い方にばらつくことを想定して行っている。
しかしながら、このようにすべての素子が遅い方又は速い方にばらつく状態は確率的にほとんどありえない状態であり、従来のスタティック・タイミング解析は、マージンを過剰に保証した非常に厳しい条件でタイミング検証を行っていることになる。したがって、上述した従来の手法では、半導体集積回路における正確なタイミング解析を実施することができず、例えば過剰なマージンにより高速動作可能な半導体集積回路を設計することが非常に困難になる等の不都合が生じていた。
本発明は、このような問題に鑑みて成されたものであり、パスにおけるゲート段数に応じたディレイのチップ内ばらつきを考慮して正確なタイミング解析を実施できるようにすることを目的とする。
本発明のタイミング解析装置は、半導体集積回路内の解析対象とするパスにおける遅延時間のばらつき係数を算出する係数演算部と、上記解析対象とするパスでのタイミング解析を行う第1のタイミング解析部とを備える。上記係数演算部は、解析対象とするパスにおけるゲートの段数に応じ、当該各ゲートにおける遅延時間のばらつきを相殺した解析対象とするパスにおける遅延時間のばらつき係数を算出し、当該ばらつき係数及び入力される回路情報に基づいて第1のタイミング解析部がタイミング解析を行う。
上記のように構成した本発明によれば、解析対象とするパスのゲート段数に応じて、各ゲートにおける遅延時間のチップ内ばらつきが相殺され、パス全体ではばらつき度合いが緩和される。
また、本発明のタイミング解析装置は、解析対象とするパスでのタイミング解析を行う第2のタイミング解析部と、予め規定されているタイミング条件を満足するか否かを解析結果に基づいて判定する判定部とをさらに備えるようにしても良い。第2のタイミング解析部は、回路情報に基づいて各ゲートの遅延時間のばらつきを累積し上記解析対象とするパスでのタイミング解析を行い、その結果、上記タイミング条件を満足しないと判定された場合のみ、係数演算部にて上記遅延時間のばらつき係数を算出する。
このように構成した場合には、従来と同様にして解析対象とするパスのタイミング解析を行い、タイミング条件に違反するときのみ、各ゲートにおける遅延時間のばらつきが相殺されたパス全体での遅延時間のばらつき係数を算出しタイミング解析を実施することができる。
本発明によれば、解析対象とするパスのゲート段数に応じたパス全体での遅延時間のばらつき係数を算出し、当該ばらつき係数を用いてタイミング解析を行うことにより、ゲート段数に応じてチップ内ばらつきを考慮した正確なタイミング解析を実施することができる。したがって、適切なタイミングマージンによるタイミング解析が可能になり、例えば高速動作が要求される半導体集積回路の設計を、従来よりも容易に行うことができる。
以下、本発明の実施形態を図面に基づいて説明する。
なお、以下では、説明の便宜上、解析対象のパス(着目パス)でのタイミング解析を実行する際に考慮する遅延時間(ディレイ)のばらつきを3σ(σはディレイ分布の標準偏差)として説明するが、タイミング解析を実行する際に考慮するディレイのばらつきは任意であり、例えば4σ、2σ、又はσであっても良い。
まず、回路のゲート段数(「回路段数」とも称す。)によるディレイ値及びその分布の変化について説明する。
図1(A)、(B)は、回路段数に応じたディレイ分布の変化を説明するための図である。
図1(A)、(B)において、11はタイミング解析の対象とするパス(信号の伝達経路)の始点であり、12はパスの終点である。例えば、始点11は信号のソース(供給源、入力端子等)に対応し、終点12はフリップフロップの入力端子に対応する。また、G(i)(iは自然数)は、始点11と終点12との間に直列に接続されたバッファ等のゲート(素子)であり、各ゲートG(i)のディレイの中心値(平均値)をμi、ディレイのばらつき(標準偏差)をσiとする。
また、μ(j)(jは自然数)は、ゲート段数がj段の場合において始点11より入力された信号がゲートG(1)〜G(j)を介して終点12に達するまでの到達時間(ディレイ)の分布における中心値である。ww(j)は、従来の手法で求めたワースト条件(すべてのゲートG(i)が3σ分遅くなった場合)でのディレイ、3σ(j)は、パス全体で見て3σ分遅くなった場合のディレイであり、下記式(1)、式(2)に従ってそれぞれ得られる。
Figure 2005122298
すなわち、ww(j)は各ゲートG(i)にて遅くなった3σ分を単純に累積して得られるディレイであり、3σ(j)はゲート段数による確率を考慮したディレイである。
図1(A)に示すようにゲート段数が2段であるときには、従来と同様にして求めたww(2)と、パス全体で見てゲート段数を考慮して求めた3σ(2)との差(到達時間のばらつきの違い)は、あまり大きくない。それに対して、図1(B)に示すようにゲート段数が大きいときには、ww(n)と3σ(n)との差(nは自然数であり、ゲート段数)が大きくなる。
図2は、パス遅延のモンテカルロ解析により得られた回路段数(ゲート段数)とパス全体でのディレイとの相関を示す図であり、横軸は回路段数、縦軸は累積遅延に対する比である。累積遅延に対する比は、パス上の各ゲートにおけるディレイ分布のばらつき3σ分を単純に累積したディレイ値に対する、パス全体の回路段数を考慮したディレイ値の比率であり、〔3σ(j)〕/〔ww(j)〕である。
図2より明らかなように、回路段数が大きくなるに従って、累積遅延に対する比は小さくなっている。従来の手法(累積遅延)での遅延は回路段数に関わらず“1”であるので、図2に実線で示した回路段数を考慮したディレイと“1”との差分21、すなわちww(j)と3σ(j)との差が、従来のタイミング検証において過剰に保証していたタイミングマージンである。つまり、ww(j)と3σ(j)との差で示される過剰保証していたタイミングマージンは、回路段数が多いほど大きくなる。
そこで、以下に説明する本発明の実施形態においては、ゲート段数による確率を考慮したパス全体でのディレイ分布及びそのばらつき(上述した説明でのゲート段数に応じた3σ(j))を用いてタイミング解析を行うようにしたものである。
(第1の実施形態)
図3は、本発明の第1の実施形態によるタイミング解析装置の構成例を示すブロック図である。
図3において、32は回路情報入力部であり、解析対象である半導体集積回路の回路情報31が入力され、その回路情報を係数演算部33及びタイミング解析部37に出力する。ここで、回路情報31には、例えば回路素子間の接続関係などを示すネットリスト、回路素子などのライブラリ、遅延情報等がある。
係数演算部33は、入力される回路情報に基づいて、解析対象となるパス(着目パス)におけるゲート段数に応じて、各ゲートにおけるディレイのばらつきを相殺し、ゲート段数に応じた着目パスにおける遅延時間のばらつき係数(OCV係数)を算出する。係数演算部33は、段数係数演算部34、ばらつき幅演算部35及びOCV係数算出部36を有する。
段数係数演算部34は、回路情報を用いて着目パスにおけるゲート段数に応じたディレイの変動量を示す段数係数を計算する。段数係数は、ゲート段数による確率を考慮したパス全体でのディレイと、従来と同様にしてパス上に存在する各素子でのばらつき3σを単純に累積して得られるディレイとの比であり、着目パス毎に算出される。ばらつき幅演算部35は、段数係数演算部34にて算出された段数係数におけるチップ内ばらつき幅(ばらつき量)、すなわち着目パス全体でのディレイのばらつき幅を計算する。OCV係数算出部36は、回路情報、段数係数演算部34にて算出された段数係数、及びばらつき幅演算部35にて算出されたばらつき幅を用いてゲート段数を考慮したOCV係数を算出し、算出したOCV係数をタイミング解析部37に出力する。
タイミング解析部37は、入力される回路情報及びゲート段数を考慮したOCV係数を用いて、着目パスのタイミング解析(セットアップ時間やホールド時間に係るタイミング条件についての検証)を行い、解析結果を結果出力部38に供給する。結果出力部38は、例えば図示しない表示装置に表示させたりデータとして出力したりして、タイミング解析部37より供給された解析結果を出力する。
次に、第1の実施形態におけるタイミング解析装置の動作について説明する。
図4は、図3に示したタイミング解析装置の動作を示すフローチャートである。
まず、ステップS41にて、ネットリスト、ライブラリ、遅延情報等の回路情報31が回路情報入力部32に入力されると、回路情報入力部32は、入力された回路情報31から着目パスにおける各回路要素のディレイ値(遅延情報)を抽出する。各回路要素のディレイ値の抽出は、着目パスのデータパス及びクロックパスのそれぞれについて行う。
また、抽出したディレイ値の中に、従来の手法で用いられゲート段数に関わらず一律の値であるOCV係数(本実施形態にて算出するOCV係数と区別するために、以下ではこの従来のOCV係数を「旧OCV係数」と称する。)が含まれている場合には、当該旧OCV係数を削除する。具体的には、抽出したディレイ値の中に旧OCV係数を乗じた情報がある場合には、これを旧OCV係数で除算し、演算結果をディレイ値とする。
次に、ステップS42にて、係数演算部33は、ステップS41において抽出されたディレイ値を含む回路情報を用いて図5に示すOCV係数算出処理を実行し、着目パスのゲート段数に応じたOCV係数を計算する。
図5は、OCV係数算出処理の動作を示すフローチャートである。
OCV係数算出処理を開始すると、まず、段数係数演算部34は、抽出された各回路要素のディレイ値を含む回路情報に基づいて、着目パスのデータパス側の段数係数を計算する(ステップS51)。段数係数は、ディレイ分布のばらつきによりディレイが大きくなる場合及びディレイが小さくなる場合の双方、すなわちワースト条件及びベスト条件の双方についてそれぞれ算出する。
同様にして、段数係数演算部34は、各回路要素のディレイ値を含む回路情報を用いて、ワースト条件及びベスト条件での着目パスのクロックパス側の段数係数をそれぞれ計算する(ステップS52)。
なお、上述した説明では、段数係数演算部34は、着目パスのデータパス側の段数係数を計算した後に、クロックパス側の段数係数を計算するようにしているが、データパス側の段数係数及びクロックパス側の段数係数の計算順序は任意である。
次に、ばらつき幅演算部35は、回路情報及び算出された段数係数に基づいて、遅延計算における計算誤差を見積もる(ステップS53)。計算誤差は、セットアップ時間及びホールド時間に関して、ワースト条件及びベスト条件での誤差をそれぞれ見積もる。
続いて、ばらつき幅演算部35は、回路情報に基づいて算出されるチップ内ばらつきのワースト条件下での中心値及びそのワースト値、ベスト条件下での中心値及びそのベスト値に基づいて、チップ内ばらつきのワースト条件及びベスト条件でのゲート段数に応じたチップ内のばらつき幅を計算する(ステップS54)。例えば、チップ内ばらつきのワースト条件でのばらつき幅は、ワースト条件下でのワースト値とその中心値との差を算出し、それを2倍することにより算出される。なお、チップ内のばらつき幅は、着目パスのデータパス及びクロックパスの双方についてそれぞれ算出される。
次に、OCV係数算出部36は、上述のようにしてステップS51〜S54において算出された段数係数、遅延計算の計算誤差、及びゲート段数に応じたチップ内のばらつき幅を用いて、ゲート段数を考慮したOCV係数を算出する。ここで、OCV係数は、セットアップ時間及びホールド時間に関して、ワースト条件及びベスト条件でのOCV係数が算出される。
以上のようにして、セットアップ時間についてワースト条件及びベスト条件でのOCV係数、及びホールド時間についてワースト条件及びベスト条件でのOCV係数、すなわち4つの異なる条件にそれぞれ対応するOCV係数が算出され、OCV係数算出処理が終了する。
図4に戻り、ステップS43にて、タイミング解析部37は、着目パスに係る回路情報及び上述のようにして算出されたOCV係数を用いて、着目パスにおけるセットアップ時間及びホールド時間に係るタイミング計算を行い、計算結果を結果出力部38に供給する。ここで、タイミング解析部37から結果出力部38に出力される計算結果は、着目パスに係る回路情報及びOCV係数により求めた計算結果そのものでも良いし、従来の手法で得られる値との差分であっても良い。
解析対象とする他のパスについても、上述したステップS41〜S43の処理をそれぞれ繰り返し実行した後、動作を終了する。結果出力部38に計算結果として供給されたタイミング解析の結果は、外部からの要求に応じて結果出力部38より随時出力される。
上記図5に示したOCV係数算出処理を、図6(A)、図6(B)を参照して具体的に説明する。
図6(A)は、OCV係数算出処理を説明するための回路の一例を示す図である。図6(A)において、51はクロック信号SCLKのソース(例えば、信号源や入力端子)であり、解析対象とするパス(着目パス)の始点である。52、53、54、55はバッファ等のゲートであり、ソース51とフリップフロップ(FF)56のクロック入力端子<CLK>との間に直列に接続されている。FF56のデータ入力端子<DI>には、データ信号DATを供給する信号線が接続されている。
57、58はゲートであり、FF56のデータ出力端子<DO>とFF59のデータ入力端子<DA>との間に直列に接続されている。すなわち、FF56からクロック信号SCLKに同期して出力されたデータ信号は、ゲート57、58を介してFF59に供給される。FF59(詳しくはFF59のデータ入力端子<DA>)は、着目パスの終点に相当する。60、61、62はゲートであり、ゲート52の出力端とFF59のクロック入力端子<CK>との間に直列に接続されている。なお、以下の説明では、FFもゲートとする。
また、66はシンククロックパスを示し、63はデータパスを示している。シンククロックパス66、データパス63は、上述した説明におけるクロックパス、データパスにそれぞれ対応する。データパス63は、ソースクロックパス64(ソース51からFF56の入力まで)と内部データパス65(FF56からFF59の入力まで)とからなる。
ここで、上記図6(A)に示す回路においてベスト条件及びワースト条件での各部のディレイを以下のように示す。
<ベスト条件>
ゲート53、54、55のディレイ…TgateCKD_bb
ゲート60、61、62のディレイ…TgateCK_bb
ゲート56、57、58のディレイ…TgateDA_bb
ゲート52と53の間、53と54の間、54と55の間、及び55と56(クロック入力端子<CLK>)の間の各配線によるディレイ…TlineCKD_bb
ゲート52と60の間、60と61の間、61と62の間、及び62と59(クロック入力端子<CK>)の間の各配線によるディレイ…TlineCK_bb
ゲート56(データ出力端子<DO>)と57の間、57と58の間、58と59(データ入力端子<DA>)の間の各配線によるディレイ…TlineDA_bb
<ワースト条件>
ゲート53、54、55のディレイ…TgateCKD_ww
ゲート60、61、62のディレイ…TgateCK_ww
ゲート56、57、58のディレイ…TgateDA_ww
ゲート52と53の間、53と54の間、54と55の間、及び55と56(クロック入力端子<CLK>)の間の各配線によるディレイ…TlineCKD_ww
ゲート52と60の間、60と61の間、61と62の間、及び62と59(クロック入力端子<CK>)の間の各配線によるディレイ…TlineCK_ww
ゲート56(データ出力端子<DO>)と57の間、57と58の間、58と59(データ入力端子<DA>)の間の各配線によるディレイ…TlineDA_ww
上記各ディレイの表記における添え字(bb、ww)について図6(B)を参照し説明する。
図6(B)はプロセス全体のばらつきとチップ内ばらつきを説明するための図である。
図6(B)において、PVはプロセス全体のばらつき、CVAはワースト条件でのチップ内ばらつき、CVBはベスト条件でのチップ内ばらつきを示している。pmはプロセス全体分布での中心値である。また、wm、wwは、チップ内ばらつきにおけるワースト条件での中心値、ワースト値であり、bm、bbは、チップ内ばらつきにおけるベスト条件での中心値、ベスト値である(以下の説明におけるwm、ww、bm、bbの添え字についても、上述した説明と同様の意味を持つものとする。)。
上記図6に示した回路におけるOCV係数算出処理動作について説明する。
なお、以下においては配線によるディレイについては、ばらつきは考慮せず、TlineDA_bb、TlineDA_wwの固定値として説明する。
まず、ステップS51では、下記式(3)、式(4)を用いてデータパス63側のワースト条件における段数係数Kn_max_DA、ベスト条件における段数係数Kn_min_DAを算出する。
Figure 2005122298
上記式(3)において、ocv_wは各ゲートのばらつきを示すものであり、ocv_w=(TgataCKD_wm/TgateCKD_ww)又は(TgataDA_wm/TgateDA_ww)である。
Figure 2005122298
上記式(4)において、ocv_bは各ゲートのばらつきを示すものであり、ocv_b=(TgataCKD_bm/TgateCKD_bb)又は(TgataDA_bm/TgateDA_bb)である。
同様にして、ステップS52では、下記式(5)、式(6)を用いてシンククロックパス66側のワースト条件における段数係数Kn_max_CK、ベスト条件における段数係数Kn_min_CKを算出する。
Figure 2005122298
Figure 2005122298
上記式(5)、式(6)において、ocv_w、ocv_bは各ゲートのばらつきを示すものであり、ocv_w=(TgataCK_wm/TgateCK_ww)、ocv_b=(TgataCK_bm/TgateCK_bb)である。
次に、ステップS53では、下記式(7)〜式(10)を用いて遅延計算における計算誤差Sw_error、Sb_error、Hw_error、Hb_errorをそれぞれ算出する。ここで、Sw_errorはセットアップかつワースト条件での計算誤差であり、Sb_errorはセットアップかつベスト条件での計算誤差である。同様に、Hw_errorはホールドかつワースト条件での計算誤差であり、Hb_errorはホールドかつベスト条件での計算誤差である。また、下記式(7)〜式(10)において、K1、K2は所定の定数であり、式(7)〜式(10)のそれぞれにおいて任意のK1、K2を設定できるものとする。
Figure 2005122298
続いて、ステップS54では、下記式(11)〜式(14)を用いてゲート段数に応じたチップ内のばらつき幅OcvD_worst、OcvD_best、OcvC_worst、OcvC_bestをそれぞれ算出する。ここで、OcvD_worstはデータパス63におけるワースト条件でのばらつき幅であり、OcvD_bestはデータパス63におけるベスト条件でのばらつき幅である。同様に、OcvC_worstはシンククロックパス66におけるワースト条件でのばらつき幅であり、OcvC_bestはシンククロックパス66におけるベスト条件でのばらつき幅である。なお、、下記式(11)、式(12)におけるocv_w、ocv_bは、式(3)、式(4)におけるocv_w、ocv_bと同じであり、式(13)、式(14)におけるocv_w、ocv_bは、式(5)、式(6)におけるocv_w、ocv_bと同じである。
Figure 2005122298
次に、ステップS55では、上述のようにして算出された段数係数、遅延計算の計算誤差、チップ内ばらつきのばらつき幅等を用い、下記式(15)〜式(18)に従って、ゲート段数を考慮したOCV係数Sw_OCV、Sb_OCV、Hw_OCV、Hb_OCVをそれぞれ算出する。ここで、Sw_OCVはセットアップかつワースト条件でのOCV係数であり、Sb_OCVはセットアップかつベスト条件でのOCV係数である。同様に、Hw_OCVはホールドかつワースト条件でのOCV係数であり、Hb_OCVはホールドかつベスト条件でのOCV係数である。
Figure 2005122298
以上のようにして、算出されたOCV係数Sw_OCV、Sb_OCV、Hw_OCV、及びHb_OCVを適宜選択してタイミング解析を実施する。
以上、説明したように第1の実施形態によれば、着目パスにおけるゲート段数に応じて、各ゲートにおけるディレイのばらつきを相殺し、ゲート段数に応じた着目パスにおけるOCV係数を係数演算部33により算出し、算出したゲート段数を考慮したOCV係数を用いて、タイミング解析部37にて着目パスのタイミング解析を行う。これにより、着目パスのゲート段数に応じてパス全体でのばらつき度合いを緩和し、従来の手法において含まれていた過剰なマージンを除去することができる。したがって、半導体集積回路のチップ内ばらつきを考慮した正確なタイミング解析を実施することができ、適切なタイミングマージンによるタイミング解析が可能になる。例えば、従来に比べてタイミングにおける制約が緩和され、高速動作が要求される半導体集積回路の設計を従来よりも容易に行うことができる。
(第2の実施形態)
次に、本発明の第2の実施形態について説明する。
以下に説明する第2の実施形態によるタイミング解析装置は、まず従来の手法でタイミング解析を行い、解析結果に基づいてタイミング条件違反となったパスについてのみ上記第1の実施形態と同様のタイミング解析を実施するようにしたものである。
図7は、本発明の第2の実施形態によるタイミング解析装置の構成例を示すブロック図である。なお、図7において、図3に示したブロックと同一の機能を有するブロックには同一の符号を付し、重複する説明は省略する。
図7において、71はタイミング解析部−Aであり、入力される回路情報に基づいて、解析対象となるパス(着目パス)における各ゲートのディレイのばらつきを累積して、着目パスのタイミング解析を行う。72は判定部であり、タイミング解析部−A71の解析結果が供給され、着目パスが予め規定されたタイミング条件(セットアップ時間やホールド時間に係る条件)を満足するか判定する。また、判定部72は、判定結果を結果出力部73に出力するとともに、タイミング条件を満足していないパスに係る情報を係数演算部33に出力する。なお、タイミング解析部−B37が本発明の第1のタイミング解析部を構成し、タイミング解析部−A71が本発明の第2のタイミング解析部を構成する。
次に、第2の実施形態におけるタイミング解析装置の動作について説明する。
図8は、図7に示したタイミング解析装置の動作を示すフローチャートである。
まず、ステップS81にて、図4に示したステップS41と同様にして、回路情報入力部32は、入力された回路情報31から着目パスのデータパス及びクロックパスのそれぞれについて各回路要素のディレイ値(遅延情報)を抽出する。
次に、ステップS82にて、タイミング解析部−A71は、ステップS81において抽出されたディレイ値を含む回路情報を用い、従来と同様の手法で着目パスにおける各ゲートのディレイのばらつきを累積して着目パスのタイミング解析を行う。そして、着目パスのSlack値(タイミングマージンの値)を抽出する。
続いて、ステップS83にて、判定部72は、ステップS82において得られたSlack値に基づいてタイミング条件違反であるか(予め規定されたタイミング条件を満足するか否か)を判定する。
上記ステップS83での判定の結果、タイミング条件違反でないと判定した場合には、判定部72は解析結果を結果出力部38に供給する。一方、ステップS83での判定の結果、タイミング条件違反であると判定した場合には、判定部72は着目パスに係る情報(着目パスが識別可能な情報)を係数演算部33に出力する。
ステップS84にて、係数演算部33は、判定部72より出力されたタイミング条件違反である着目パスに係る情報を受けると、第1の実施形態と同様にしてOCV係数算出処理を実行し、着目パスのゲート段数に応じたOCV係数を計算する。
次に、タイミング解析部−B37は、着目パスに係る回路情報及び算出されたOCV係数を用いて、着目パスにおけるセットアップ時間及びホールド時間に係るタイミング計算を行い(ステップS85)、計算結果を結果出力部38に供給する(ステップS86)。解析対象とする他のパスについても、上述した処理をそれぞれ繰り返し実行して動作を終了する。
以上、説明したように第2の実施形態によれば、回路情報31を用い従来と同様にして各ゲートの遅延時間のばらつきを累積し着目パスのタイミング解析をタイミング解析部−A71にて行い、その結果、予め規定されているタイミング条件を満足していないと判定部72にて判定された場合のみ、上述した第1の実施形態と同様にしてゲート段数を考慮したOCV係数を用いたタイミング解析を行う。これにより、第1の実施形態と同様の効果が得られるとともに、タイミングの制約が厳しいパスのみ抽出して、ゲート段数に応じてパス全体でのばらつき度合いを緩和したタイミング解析を実施することができる。
なお、上述した第2の実施形態においては、タイミング解析部−A71とタイミング解析部−B37との2つのタイミング解析部を設けているが、1つのタイミング解析部を設けて入力する回路情報やOCV係数等を適宜切り替えるように構成しても良い。
また、上述した第1及び第2の実施形態においては、係数演算部33内の段数係数演算部34は、入力された回路情報31に基づいてその都度段数係数を計算するようにしているが、図9(A)〜図9(C)に示すようなクロックパス及びデータパスにおけるゲート段数と段数係数との対応関係を示した段数係数テーブルを作成しておき、この段数係数テーブルを参照して段数係数を求めるようにしても良い。図9(A)はクロックパスの段数係数テーブルである。また、図9(B)は、ワースト条件でのデータパスの段数係数テーブルであり、図9(C)は、ベスト条件でのデータパスの段数係数テーブルである。
図9(A)〜図9(C)に示すような段数係数テーブルを用いることで、入力された回路情報31に基づいて段数係数演算部34がその都度段数係数を計算する必要がなくなり、演算処理量を低減することができタイミング解析に要する時間を短縮することができる。
また、上述した第1及び第2の実施形態において、ゲート間の配線はディレイの分布を考えず一定の値としているが、ディレイの分布を考慮するようにしても良く、この場合にはゲートと同様に演算を行えば良い。
なお、上述した第1及び第2の実施形態におけるタイミング解析装置は、コンピュータのCPU又はMPU、RAM、ROMなどで構成できるものであり、ROMに記憶されたプログラムが動作することによって実現でき、上記プログラムは本発明の実施形態に含まれる。また、コンピュータが上記機能を果たすように動作させるプログラムを、例えばCD−ROMのような記録媒体に記録し、コンピュータに読み込ませることによって実現できるものであり、上記プログラムを記録した記録媒体は本発明の実施形態に含まれる。上記プログラムを記録する記録媒体としては、CD−ROM以外に、フレキシブルディスク、ハードディスク、磁気テープ、光磁気ディスク、不揮発性メモリカード等を用いることができる。
また、コンピュータがプログラムを実行し処理を行うことにより、上記実施形態の機能が実現されるプログラムプロダクトは、本発明の実施形態に含まれる。上記プログラムプロダクトとしては、上記実施形態の機能を実現するプログラム自体、上記プログラムが読み込まれたコンピュータ、ネットワークを介して通信可能に接続されたコンピュータに上記プログラムを提供可能な送信装置、当該送信装置を備えるネットワークシステム等がある。
また、コンピュータが供給されたプログラムを実行することにより上記実施形態の機能が実現されるだけでなく、そのプログラムがコンピュータにおいて稼働しているOS(オペレーティングシステム)又は他のアプリケーションソフト等と共同して上記実施形態の機能が実現される場合や、供給されたプログラムの処理の全て又は一部がコンピュータの機能拡張ボードや機能拡張ユニットにより行われて上記実施形態の機能が実現される場合も、かかるプログラムは本発明の実施形態に含まれる。また、本発明をネットワーク環境で利用するべく、全部又は一部のプログラムが他のコンピュータで実行されるようになっていても良い。
例えば、第1及び第2の実施形態に示したタイミング解析装置は、図10に示すようなコンピュータ機能90を有し、そのCPU91により上記実施形態での動作が実施される。
コンピュータ機能90は、上記図10に示すように、CPU91と、ROM92と、RAM93と、キーボード(KB)99のキーボードコントローラ(KBC)95と、表示部としてのCRTディスプレイ(CRT)100のCRTコントローラ(CRTC)96と、ハードディスク(HD)101及びフレキシブルディスク(FD)102のディスクコントローラ(DKC)97と、ネットワークインタフェースカード(NIC)98とが、システムバス94を介して互いに通信可能に接続された構成としている。
CPU91は、ROM92又はHD101に記憶されたソフトウェア(プログラム)、又はFD102より供給されるソフトウェア(プログラム)を実行することで、システムバス94に接続された各構成部を総括的に制御する。
すなわち、CPU91は、上述したような動作を行うための処理プログラムを、ROM92、HD101、又はFD102から読み出して実行することで、上記実施形態での動作を実現するための制御を行う。
RAM93は、CPU91の主メモリ又はワークエリア等として機能する。
KBC95は、KB99や図示していないポインティングデバイス等からの指示入力を制御する。CRTC96は、CRT100の表示を制御する。DKC97は、ブートプログラム、種々のアプリケーション、ユーザファイル、ネットワーク管理プログラム、及び上記実施形態における上記処理プログラム等を記憶するHD101及びFD102とのアクセスを制御する。NIC98はネットワーク103上の他の装置と双方向にデータをやりとりする。
なお、上記実施形態は、何れも本発明を実施するにあたっての具体化のほんの一例を示したものに過ぎず、これらによって本発明の技術的範囲が限定的に解釈されてはならないものである。すなわち、本発明はその技術思想、またはその主要な特徴から逸脱することなく、様々な形で実施することができる。
本発明の諸態様を付記として以下に示す。
(付記1)入力される回路情報に基づいて半導体集積回路のタイミング解析を行うタイミング解析装置であって、
上記半導体集積回路内の解析対象とするパスにおけるゲートの段数に応じて、当該各ゲートにおける遅延時間のばらつきを相殺した上記解析対象とするパスにおける遅延時間のばらつき係数を算出する係数演算部と、
上記算出したばらつき係数及び上記回路情報に基づいて、上記解析対象とするパスでのタイミング解析を行う第1のタイミング解析部とを備えることを特徴とするタイミング解析装置。
(付記2)上記係数演算部は、上記回路情報に基づいて上記解析対象とするパスにおけるゲート段数に応じた遅延時間の変動量を示す段数係数を算出する段数係数演算部と、
上記回路情報に基づいて上記解析対象とするパス全体での遅延時間のばらつき幅を算出するばらつき幅演算部と、
上記算出した段数係数及び上記遅延時間のばらつき幅に基づいて、上記解析対象とするパスにおける遅延時間のばらつき係数を算出するばらつき係数演算部とを備えることを特徴とする付記1記載のタイミング解析装置。
(付記3)パスにおける任意のゲート段数と上記段数係数とを対応させた段数係数テーブルを有し、
上記段数係数演算部は、上記段数係数テーブルを参照し上記解析対象とするパスにおけるゲート段数に応じた段数係数を得ることを特徴とする付記2記載のタイミング解析装置。
(付記4)上記回路情報が入力され、上記解析対象とするパスにおける各ゲートの遅延時間に係る遅延情報を当該回路情報から抽出する情報入力部をさらに備え、
上記係数演算部は、上記抽出された各ゲートの遅延情報に基づいて上記解析対象とするパスにおける遅延時間のばらつき係数を算出することを特徴とする付記1記載のタイミング解析装置。
(付記5)上記第1のタイミング解析部は、上記算出したばらつき係数及び上記回路情報に基づいて、上記解析対象とするパスにて予め規定されているタイミング条件を満足するか否かを検証することを特徴とする付記1記載のタイミング解析装置。
(付記6)上記タイミング条件は、上記解析対象とするパスにおけるセットアップ時間及びホールド時間に係る条件であることを特徴とする付記5記載のタイミング解析装置。
(付記7)上記回路情報に基づき、上記解析対象とするパスにおける各ゲートの遅延時間のばらつきを累積して上記解析対象とするパスでのタイミング解析を行う第2のタイミング解析部と、
上記第2のタイミング解析部から供給されるタイミング解析の結果に基づいて、予め規定されているタイミング条件を満足するか否かを判定する判定部とをさらに備え、
上記係数演算部は、上記判定部にてタイミング条件を満足しないと判定された場合のみ、上記解析対象とするパスにおける遅延時間のばらつき係数を算出することを特徴とする付記1記載のタイミング解析装置。
(付記8)上記回路情報が入力され、上記解析対象とするパスにおける各ゲートの遅延時間に係る遅延情報を当該回路情報から抽出する情報入力部をさらに備え、
上記第2のタイミング解析部は、上記抽出された各ゲートの遅延情報に基づいて上記解析対象とするパスのタイミング解析を行うことを特徴とすることを特徴とする付記7記載のタイミング解析装置。
(付記9)入力される回路情報に基づいて半導体集積回路のタイミング解析を行うタイミング解析方法であって、
上記回路情報が入力され、上記半導体集積回路内の解析対象とするパスにおける各ゲートの遅延時間に係る遅延情報を当該回路情報から抽出する遅延情報抽出ステップと、
上記解析対象とするパスにおけるゲートの段数に応じて、当該各ゲートにおける遅延時間のばらつきを相殺した上記解析対象とするパスにおける遅延時間のばらつき係数を、上記遅延情報抽出ステップにて抽出された上記遅延情報に基づいて算出する係数演算ステップと、
上記係数演算ステップにて算出したばらつき係数及び上記回路情報を用いて、上記解析対象とするパスでのタイミング解析を行う第1のタイミング解析ステップとを有することを特徴とするタイミング解析方法。
(付記10)上記遅延情報抽出ステップにて抽出された上記遅延情報に基づいて、上記解析対象とするパスにおける各ゲートの遅延時間のばらつきを累積して上記解析対象とするパスでのタイミング解析を行う第2のタイミング解析ステップと、
上記第2のタイミング解析ステップでの解析結果に基づいて、予め規定されているタイミング条件を満足するか否かを判定する判定ステップとをさらに有し、
上記判定ステップにてタイミング条件を満足しないと判定された場合のみ、上記係数演算ステップを実行することを特徴とする付記9記載のタイミング解析方法。
(付記11)上記係数演算ステップは、上記回路情報に基づいて上記解析対象とするパスにおけるゲート段数に応じた遅延時間の変動量を示す段数係数を算出する段数係数演算ステップと、
上記回路情報に基づいて上記解析対象とするパス全体での遅延時間のばらつき幅を算出するばらつき幅演算ステップと、
上記段数係数演算ステップにて算出した段数係数及び上記ばらつき幅演算ステップにて算出した遅延時間のばらつき幅に基づいて、上記解析対象とするパスにおける遅延時間のばらつき係数を算出するばらつき係数演算ステップとを有することを特徴とする付記9記載のタイミング解析方法。
(付記12)半導体集積回路内の解析対象とするパスにおける各ゲートの遅延時間に係る遅延情報を、当該半導体集積回路の回路情報から抽出する遅延情報抽出ステップと、
上記解析対象とするパスにおけるゲートの段数に応じて、当該各ゲートにおける遅延時間のばらつきを相殺した上記解析対象とするパスにおける遅延時間のばらつき係数を、上記遅延情報抽出ステップにて抽出された上記遅延情報に基づいて算出する係数演算ステップと、
上記係数演算ステップにて算出したばらつき係数及び上記回路情報を用いて、上記解析対象とするパスでのタイミング解析を行う第1のタイミング解析ステップとをコンピュータに実行させるためのプログラム。
(付記13)上記遅延情報抽出ステップにて抽出された上記遅延情報に基づいて、上記解析対象とするパスにおける各ゲートの遅延時間のばらつきを累積して上記解析対象とするパスでのタイミング解析を行う第2のタイミング解析ステップと、
上記第2のタイミング解析ステップでの解析結果に基づいて、予め規定されているタイミング条件を満足するか否かを判定する判定ステップとを実行させ、
上記判定ステップにてタイミング条件を満足しないと判定された場合のみ、上記係数演算ステップを実行させることを特徴とする付記12記載のプログラム。
(付記14)上記係数演算ステップは、上記回路情報に基づいて上記解析対象とするパスにおけるゲート段数に応じた遅延時間の変動量を示す段数係数を算出する段数係数演算ステップと、
上記回路情報に基づいて上記解析対象とするパス全体での遅延時間のばらつき幅を算出するばらつき幅演算ステップと、
上記段数係数演算ステップにて算出した段数係数及び上記ばらつき幅演算ステップにて算出した遅延時間のばらつき幅に基づいて、上記解析対象とするパスにおける遅延時間のばらつき係数を算出するばらつき係数演算ステップとを有することを特徴とする付記12記載のプログラム。
(付記15)記録媒体に記録された、パスにおける任意のゲート段数と上記段数係数とを対応させた段数係数テーブルを参照して上記解析対象とするパスにおけるゲート段数に応じた段数係数を得ることを特徴とする付記14記載のプログラム。
ゲート段数に応じたディレイ分布の変化の一例を示す図である。 回路段数とパス全体でのディレイとの相関を示す図である。 第1の実施形態によるタイミング解析装置の構成例を示すブロック図である。 第1の実施形態におけるタイミング解析装置の動作を示すフローチャートである。 OCV係数算出処理の動作を示すフローチャートである。 本実施形態におけるタイミング解析の具体例を説明するための図である。 第2の実施形態によるタイミング解析装置の構成例を示すブロック図である。 第2の実施形態におけるタイミング解析装置の動作を示すフローチャートである。 段数係数テーブルの一例を示す図である。 タイミング解析装置を実現可能なコンピュータの一構成例を示すブロック図である。 従来のスタティック・タイミング解析の問題点を説明するための図である。
符号の説明
32 回路情報入力部
33 係数演算部
34 段数係数演算部
35 ばらつき幅演算部
36 OCV係数算出部
37 タイミング解析部
38 結果出力部

Claims (10)

  1. 入力される回路情報に基づいて半導体集積回路のタイミング解析を行うタイミング解析装置であって、
    上記半導体集積回路内の解析対象とするパスにおけるゲートの段数に応じて、当該各ゲートにおける遅延時間のばらつきを相殺した上記解析対象とするパスにおける遅延時間のばらつき係数を算出する係数演算部と、
    上記算出したばらつき係数及び上記回路情報に基づいて、上記解析対象とするパスでのタイミング解析を行う第1のタイミング解析部とを備えることを特徴とするタイミング解析装置。
  2. 上記係数演算部は、上記回路情報に基づいて上記解析対象とするパスにおけるゲート段数に応じた遅延時間の変動量を示す段数係数を算出する段数係数演算部と、
    上記回路情報に基づいて上記解析対象とするパス全体での遅延時間のばらつき幅を算出するばらつき幅演算部と、
    上記算出した段数係数及び上記遅延時間のばらつき幅に基づいて、上記解析対象とするパスにおける遅延時間のばらつき係数を算出するばらつき係数演算部とを備えることを特徴とする請求項1記載のタイミング解析装置。
  3. パスにおける任意のゲート段数と上記段数係数とを対応させた段数係数テーブルを有し、
    上記段数係数演算部は、上記段数係数テーブルを参照し上記解析対象とするパスにおけるゲート段数に応じた段数係数を得ることを特徴とする請求項2記載のタイミング解析装置。
  4. 上記回路情報が入力され、上記解析対象とするパスにおける各ゲートの遅延時間に係る遅延情報を当該回路情報から抽出する情報入力部をさらに備え、
    上記係数演算部は、上記抽出された各ゲートの遅延情報に基づいて上記解析対象とするパスにおける遅延時間のばらつき係数を算出することを特徴とする請求項1〜3の何れか1項に記載のタイミング解析装置。
  5. 上記第1のタイミング解析部は、上記算出したばらつき係数及び上記回路情報に基づいて、上記解析対象とするパスにて予め規定されているタイミング条件を満足するか否かを検証することを特徴とする請求項1〜4の何れか1項に記載のタイミング解析装置。
  6. 上記回路情報に基づき、上記解析対象とするパスにおける各ゲートの遅延時間のばらつきを累積して上記解析対象とするパスでのタイミング解析を行う第2のタイミング解析部と、
    上記第2のタイミング解析部から供給されるタイミング解析の結果に基づいて、予め規定されているタイミング条件を満足するか否かを判定する判定部とをさらに備え、
    上記係数演算部は、上記判定部にてタイミング条件を満足しないと判定された場合のみ、上記解析対象とするパスにおける遅延時間のばらつき係数を算出することを特徴とする請求項1〜5の何れか1項に記載のタイミング解析装置。
  7. 入力される回路情報に基づいて半導体集積回路のタイミング解析を行うタイミング解析方法であって、
    上記回路情報が入力され、上記半導体集積回路内の解析対象とするパスにおける各ゲートの遅延時間に係る遅延情報を当該回路情報から抽出する遅延情報抽出ステップと、
    上記解析対象とするパスにおけるゲートの段数に応じて、当該各ゲートにおける遅延時間のばらつきを相殺した上記解析対象とするパスにおける遅延時間のばらつき係数を、上記遅延情報抽出ステップにて抽出された上記遅延情報に基づいて算出する係数演算ステップと、
    上記係数演算ステップにて算出したばらつき係数及び上記回路情報を用いて、上記解析対象とするパスでのタイミング解析を行う第1のタイミング解析ステップとを有することを特徴とするタイミング解析方法。
  8. 上記遅延情報抽出ステップにて抽出された上記遅延情報に基づいて、上記解析対象とするパスにおける各ゲートの遅延時間のばらつきを累積して上記解析対象とするパスでのタイミング解析を行う第2のタイミング解析ステップと、
    上記第2のタイミング解析ステップでの解析結果に基づいて、予め規定されているタイミング条件を満足するか否かを判定する判定ステップとをさらに有し、
    上記判定ステップにてタイミング条件を満足しないと判定された場合のみ、上記係数演算ステップを実行することを特徴とする請求項7記載のタイミング解析方法。
  9. 半導体集積回路内の解析対象とするパスにおける各ゲートの遅延時間に係る遅延情報を、当該半導体集積回路の回路情報から抽出する遅延情報抽出ステップと、
    上記解析対象とするパスにおけるゲートの段数に応じて、当該各ゲートにおける遅延時間のばらつきを相殺した上記解析対象とするパスにおける遅延時間のばらつき係数を、上記遅延情報抽出ステップにて抽出された上記遅延情報に基づいて算出する係数演算ステップと、
    上記係数演算ステップにて算出したばらつき係数及び上記回路情報を用いて、上記解析対象とするパスでのタイミング解析を行う第1のタイミング解析ステップとをコンピュータに実行させるためのプログラム。
  10. 上記遅延情報抽出ステップにて抽出された上記遅延情報に基づいて、上記解析対象とするパスにおける各ゲートの遅延時間のばらつきを累積して上記解析対象とするパスでのタイミング解析を行う第2のタイミング解析ステップと、
    上記第2のタイミング解析ステップでの解析結果に基づいて、予め規定されているタイミング条件を満足するか否かを判定する判定ステップとを実行させ、
    上記判定ステップにてタイミング条件を満足しないと判定された場合のみ、上記係数演算ステップを実行させることを特徴とする請求項9記載のプログラム。
JP2003353950A 2003-10-14 2003-10-14 タイミング解析装置、タイミング解析方法及びプログラム Pending JP2005122298A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003353950A JP2005122298A (ja) 2003-10-14 2003-10-14 タイミング解析装置、タイミング解析方法及びプログラム
US10/807,286 US7219320B2 (en) 2003-10-14 2004-03-24 Semiconductor integrated circuit timing analysis apparatus timing analysis method and timing analysis program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003353950A JP2005122298A (ja) 2003-10-14 2003-10-14 タイミング解析装置、タイミング解析方法及びプログラム

Publications (1)

Publication Number Publication Date
JP2005122298A true JP2005122298A (ja) 2005-05-12

Family

ID=34419932

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003353950A Pending JP2005122298A (ja) 2003-10-14 2003-10-14 タイミング解析装置、タイミング解析方法及びプログラム

Country Status (2)

Country Link
US (1) US7219320B2 (ja)
JP (1) JP2005122298A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007094512A (ja) * 2005-09-27 2007-04-12 Renesas Technology Corp 半導体集積回路の設計方法、プログラムおよび半導体集積回路の設計装置
JP2007304957A (ja) * 2006-05-12 2007-11-22 Fujitsu Ltd タイミング解析方法及びタイミング解析装置
JP2010198374A (ja) * 2009-02-25 2010-09-09 Fujitsu Semiconductor Ltd 設計支援プログラム、設計支援装置、および設計支援方法
US7930665B2 (en) 2006-10-31 2011-04-19 Fujitsu Semiconductor Limited Method and program for designing semiconductor integrated circuit
US7944446B2 (en) 2007-02-13 2011-05-17 Fujitsu Limited Device and method for displaying delay analysis results, and computer product
US8656337B2 (en) 2012-07-13 2014-02-18 International Business Machines Corporation Optimization method and device for netlist used in logic circuit design for semiconductor integrated circuit

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7089143B2 (en) * 2004-04-29 2006-08-08 International Business Machines Corporation Method and system for evaluating timing in an integrated circuit
JP2005352787A (ja) * 2004-06-10 2005-12-22 Matsushita Electric Ind Co Ltd タイミング解析方法およびタイミング解析装置
JP4361838B2 (ja) * 2004-06-11 2009-11-11 富士通マイクロエレクトロニクス株式会社 Lsi設計方法
JP2006154951A (ja) * 2004-11-25 2006-06-15 Fujitsu Ltd 検証方法及び検証装置
US7685333B2 (en) * 2005-03-22 2010-03-23 Sigmatel, Inc Method and system for communicating with memory devices utilizing selected timing parameters from a timing table
JP2006268479A (ja) * 2005-03-24 2006-10-05 Fujitsu Ltd 設計支援装置、設計支援方法、設計支援プログラム、および記録媒体
JP4619172B2 (ja) * 2005-03-29 2011-01-26 富士通セミコンダクター株式会社 タイミング解析方法、タイミング解析プログラム、およびタイミング解析装置
JP4528659B2 (ja) * 2005-03-30 2010-08-18 パナソニック株式会社 クロックジッタ算出装置、クロックジッタ算出方法、およびクロックジッタ算出プログラム
US20070089076A1 (en) * 2005-10-14 2007-04-19 Sun Microsystems, Inc. Application of consistent cycle context for related setup and hold tests for static timing analysis
US7506293B2 (en) * 2006-03-22 2009-03-17 Synopsys, Inc. Characterizing sequential cells using interdependent setup and hold times, and utilizing the sequential cell characterizations in static timing analysis
US8117575B2 (en) 2009-08-10 2012-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for on-chip-variation analysis
US8584065B2 (en) * 2011-05-05 2013-11-12 Advanced Micro Devices, Inc. Method and apparatus for designing an integrated circuit
US8839178B1 (en) * 2013-03-14 2014-09-16 Medtronic, Inc. Tool for evaluating clock tree timing and clocked component selection
US9660626B2 (en) 2013-03-14 2017-05-23 Medtronic, Inc. Implantable medical device having clock tree network with reduced power consumption

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5365463A (en) * 1990-12-21 1994-11-15 International Business Machines Corporation Method for evaluating the timing of digital machines with statistical variability in their delays
US5579510A (en) * 1993-07-21 1996-11-26 Synopsys, Inc. Method and structure for use in static timing verification of synchronous circuits
JP3005888B2 (ja) * 1995-08-30 2000-02-07 株式会社岡村製作所 エスカレータ用ショッピングカート
US5917350A (en) * 1997-03-28 1999-06-29 Cypress Semiconductor Corp. Asynchronous pulse discriminating synchronizing clock pulse generator with synchronous clock suspension capability for logic derived clock signals for a programmable device
US6359479B1 (en) * 1998-08-04 2002-03-19 Juniper Networks, Inc. Synchronizing data transfers between two distinct clock domains
JP2001338985A (ja) * 1999-09-20 2001-12-07 Matsushita Electric Ind Co Ltd クロック回路及びその設計方法
JP2001306647A (ja) * 2000-04-21 2001-11-02 Matsushita Electric Ind Co Ltd タイミング検証方法
WO2003060776A1 (fr) * 2002-01-11 2003-07-24 Fujitsu Limited Procede et systeme de calcul du temps de retard d'un circuit integre a semi-conducteurs

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007094512A (ja) * 2005-09-27 2007-04-12 Renesas Technology Corp 半導体集積回路の設計方法、プログラムおよび半導体集積回路の設計装置
US7512920B2 (en) 2005-09-27 2009-03-31 Renesas Technology Corp. Method and program for designing semiconductor integrated circuits, and semiconductor integrated circuit designing apparatus
US7707531B2 (en) 2005-09-27 2010-04-27 Renesas Technology Corp. Method and program for designing semiconductor integrated circuits, and semiconductor integrated circuit designing apparatus
JP2007304957A (ja) * 2006-05-12 2007-11-22 Fujitsu Ltd タイミング解析方法及びタイミング解析装置
JP4664231B2 (ja) * 2006-05-12 2011-04-06 富士通セミコンダクター株式会社 タイミング解析方法及びタイミング解析装置
US7930665B2 (en) 2006-10-31 2011-04-19 Fujitsu Semiconductor Limited Method and program for designing semiconductor integrated circuit
US7944446B2 (en) 2007-02-13 2011-05-17 Fujitsu Limited Device and method for displaying delay analysis results, and computer product
JP2010198374A (ja) * 2009-02-25 2010-09-09 Fujitsu Semiconductor Ltd 設計支援プログラム、設計支援装置、および設計支援方法
US8423944B2 (en) 2009-02-25 2013-04-16 Fujitsu Semiconductor Limited Supporting program, design supporting device and design supporting method
US8656337B2 (en) 2012-07-13 2014-02-18 International Business Machines Corporation Optimization method and device for netlist used in logic circuit design for semiconductor integrated circuit

Also Published As

Publication number Publication date
US20050081171A1 (en) 2005-04-14
US7219320B2 (en) 2007-05-15

Similar Documents

Publication Publication Date Title
JP2005122298A (ja) タイミング解析装置、タイミング解析方法及びプログラム
US10354042B2 (en) Selectively reducing graph based analysis pessimism
CN101877017B (zh) 使用快速估计技术的有效的采用穷尽法的基于路径的sta
US8769470B2 (en) Timing closure in chip design
US8056038B2 (en) Method for efficiently checkpointing and restarting static timing analysis of an integrated circuit chip
US10740520B2 (en) Pessimism in static timing analysis
CN113486612B (zh) 集成电路设计方法、装置、电子设备和可读存储介质
JP2013171503A (ja) Lsi設計方法
US8539413B1 (en) Frequency optimization using useful skew timing
US8881089B1 (en) Physical synthesis optimization with fast metric check
US7562266B2 (en) Method and device for verifying timing in a semiconductor integrated circuit
WO2010092825A1 (ja) 回路解析方法
Iizuka et al. A tool set for the design of asynchronous circuits with bundled-data implementation
US20110283131A1 (en) Computer-readable recording medium, method, and apparatus for calculating power consumption information
US10372851B2 (en) Independently projecting a canonical clock
US6871329B2 (en) Design system of integrated circuit and its design method and program
US20220327269A1 (en) Computing device and method for detecting clock domain crossing violation in design of memory device
US10055532B2 (en) Collapsing terms in statistical static timing analysis
US7493580B2 (en) Critical path estimating program, estimating apparatus, estimating method, and integrated circuit designing program
JP4419633B2 (ja) 論理回路設計システム、論理回路設計方法およびそのプログラム
JP4587754B2 (ja) クロック合成方法、半導体装置及びプログラム
WO2024100875A1 (ja) 回路品質確認装置及び回路品質確認方法
US20100175037A1 (en) Method, apparatus, and program for correcting hold error
US20070016885A1 (en) Logic-synthesis method and logic synthesizer
WO2018163387A1 (ja) 解析装置、解析方法及び解析プログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061004

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081111

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090109

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090317