JP2005352787A - タイミング解析方法およびタイミング解析装置 - Google Patents

タイミング解析方法およびタイミング解析装置 Download PDF

Info

Publication number
JP2005352787A
JP2005352787A JP2004173113A JP2004173113A JP2005352787A JP 2005352787 A JP2005352787 A JP 2005352787A JP 2004173113 A JP2004173113 A JP 2004173113A JP 2004173113 A JP2004173113 A JP 2004173113A JP 2005352787 A JP2005352787 A JP 2005352787A
Authority
JP
Japan
Prior art keywords
signal path
timing analysis
delay
circuit
analysis method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004173113A
Other languages
English (en)
Inventor
Hirokazu Yonezawa
浩和 米澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2004173113A priority Critical patent/JP2005352787A/ja
Priority to US11/101,572 priority patent/US7222319B2/en
Publication of JP2005352787A publication Critical patent/JP2005352787A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】複数の製造ばらつき成分、遅延誤差ばらつきを反映した設計マージンに基づいたLSIのタイミング解析方法又はタイミング解析装置を提供する。
【解決手段】タイミング解析装置101は、LSIの回路セルの接続情報などを含むネットリスト103と、回路セルの遅延情報をあらかじめ格納した遅延データ102と、段数−ディレイティングファクター依存性104と、ディレイティングファクター(PVT)107とを読み込み、信号パスセル段数検出手段106が信号パスの段数を検出し、ランダムばらつきの平均化度合いを示すディレイティングファクターを信号パスの段数に応じて決定し、それに基づきタイミング解析を行う。このため、より現実に即した高精度なタイミング設計が大規模回路に対して行えるようになる。
【選択図】 図1

Description

本発明は、システムLSIやASIC(Application Specific IC)等の設計を行なう際に、主として製造上のばらつきの影響を考慮した設計マージンのもとでタイミング解析を行うのに用いる技術に関する。
近年、製造技術の発達と共にトランジスタの微細化又は集積化が急速に向上してきたため、CMIS(Complementary Metal Insulator Semiconductor )半導体集積回路(以下、LSIと称する)のワンチップ上に様々な機能を実現できるようになった。このようなLSIを開発する際には、通常、設計余裕すなわち設計マージンが設けられている。回路特性に影響を与える要因には種々考えられるが、設計マージンは、それらの要因からの影響を受けても、回路が正常に動作するように設計時に見込む余裕のことである。設計マージンを設定する上でもっとも考慮すべきファクターは、回路特性に影響を与えるファクターである。かかるファクターには、回路の動作環境である電圧及び温度だけでなく、製造時のばらつき又はゆらぎも含まれる。製造ばらつきとしては、例えば、リソグラフィーなどの加工寸法のばらつきや、ドーパント濃度の高低などの材質のばらつきがある。このような製造ばらつきが生じると、回路中のトランジスタや配線の特性も変動し、その結果、それらの素子から構成されるLSIの特性も変動する。最近では、加工技術の微細化が急速に進んでいることから、製造ばらつきによるLSIの回路特性への影響がますます顕著になってきている。
LSIは種々の回路特性が仕様を満たすように設計されるが、とりわけ回路のタイミング設計には注意が払われる。回路を信号が伝わるときには、信号伝搬遅延が生じるが、タイミング設計により、信号伝搬遅延特性が仕様を満たすように設計される。
このようなLSIの製造時のばらつき又はゆらぎにより生じる信号伝搬遅延について図を用いて説明する。
図3は、ASIC又はシステムLSI等に含まれる論理回路の例を示すブロック回路図である。一般に、論理回路は複数の信号パスに分解される。1つの信号パスは、例えば図3に示すように、一対のフリップフロップ211−212の間にN段(Nは自然数)の回路セル群(回路セルCce1〜CceN)が含まれる信号パス200である。N段の回路セル群の各回路セルは、一般に、インバータ,NAND又はNOR等の論理回路素子からなる。また、これらのインバータ等からなるN段の回路セル群は配線で接続されて信号パスを構成している。このような論理回路のタイミング設計をする際には、信号パスによって接続されたN段の回路セルを、信号が伝搬することによって生じる信号伝搬遅延時間(以下、遅延時間と略する)が、論理回路に入力されるクロック信号201のサイクルタイム(多くの場合、動作周波数若しくはクロック周波数の逆数、又はそれらの整数倍の周期)に基づいて決まる所定の時間内に収まっていることを要求される。この関係を次式(1)
tcycle≧Σti +tothers …(1)
に示す。ただし、式(1)において、tcycleは論理回路を設計する際に要求される遅延時間の上限を、ti はN段の回路セルのうちのi段目の回路セルに入力された信号が出力されるまでに遅延する時間(遅延時間)を、Σti は一対のフリップフロップの間の各回路セルによって生じる遅延時間ti の総和を、また、tothersは一対のフリップフロップのセットアップ時間及びクロック信号のスキュー等の総和を表す。
一般に、設計マージンは、上記の遅延時間を考慮に入れて設定されるため、次式(2)および(3)に示すように、信号の伝搬を遅延させる種々の遅延変動要因を、それぞれ標準条件下の遅延時間を基準に係数化したディレイティングファクター(derating factor)と呼ばれる係数(PVT)を用いて表される。Pは製造ばらつきを遅延変動要因として係数化されたディレイティングファクターを、Vは電源電圧幅を遅延変動要因として係数化されたディレイティングファクターを、また、Tは温度幅を遅延変動要因として係数化されたディレイティングファクターを表す。
tworst=ttyp×Pworst×Vworst×Tworst …(2)
tbest=ttyp×Pbest×Vbest×Tbest …(3)
ここで、式(2),式(3)におけるtworst は遅延時間Σti の最悪値を、tbestは遅延時間Σti の最良値を、ttyp は遅延時間Σti の標準値を表す。
このようなディレイティングファクターを用いるための手順は、以下の通りである。まず、遅延時間Σti の標準値ttypを求めておき、その後、この標準値ttypに各ディレイティングファクターの最悪値を掛け合わせたものを最悪条件下における遅延時間の最悪値とする。同様に、各ディレイティングファクターの最良値を掛け合わせたものを最良条件下における遅延時間の最良値として簡易的に見積もることができる。その結果、設計マージンを見込んだ論理回路のタイミング設計を省力的に行うことができる。
図29は、ディレイティングファクターの具体的な値の例を表にして示す図である。
図29は、式(2),式(3)に示すディレイティングファクターPVTにおけるそれぞれの最良値(best)および最悪値(worst)を示す図である。
図29に示すように、PVTのいずれかで表される各ディレイティングファクターには、それぞれ最良値と最悪値がある。ディレイティングファクターの最悪値を式(2)に代入すると、遅延時間の最悪値tworstを次式(4)
tworst=ttyp×1.3×1.15×1.1 …(4)
により算出できる。同様に、ディレイティングファクターの最良値を式(3)に代入すると、遅延時間の最良値tbestを次式(5)
tbest =ttyp×0.7×0.85×0.9 …(5)
により算出できる。そして、このようにして算出された遅延時間の最良値tbestおよび最悪値tworstのそれぞれに相当する時間が遅延する条件下で、LSIの動作を回路設計時に確認する。従来より、上記に示すような製造ばらつきを考慮したタイミング解析方法が用いられてきた。
図30は、従来のタイミング解析を行なうためのシステムの構成を示すブロック図である。タイミング解析手段401は、LSIの回路セルの接続情報などを含むネットリスト403と、信号パス上の個々の回路セルの遅延情報をあらかじめ格納した遅延データ402とを読み込み、設計マージンであるディレイティングファクター404に従って、信号パス毎に前述のような遅延時間の計算を行い、結果を405に出力する。
例えば、図28に示すような回路500のタイミング解析を行なう場合について説明する。回路500は、3つの回路セル501,502,503を接続して構成され、入力端子511―出力端子512間の信号パスAと、入力端子511―出力端子513間の信号パスBと、入力端子511―出力端子514間の信号パスCとの3つの信号パスを含んでいる。回路セル501の標準遅延時間を0.1nS、回路セル502の標準遅延時間を0.12nS、回路セル503の標準遅延時間を0.15nSとすると、信号パスA,B,Cの最悪遅延は、ディレイティングファクター404として図29中の数値を用いると、各々以下の計算で求められる。
信号パスAでは、
tworst[nS]=(0.1+0.12+0.15)×1.3×1.15×1.1
…(6)
信号パスBでは、
tworst[nS]=(0.1+0.12)×1.3×1.15×1.1 …(7)
信号パスCでは、
tworst[nS]=(0.1)×1.3×1.15×1.1 …(8)
このような技術に基づくタイミング解析は、処理が回路セル単位であり、また回路セルを単にゲートやセルとも呼ぶことから、ゲートレベルまたはセルレベルのタイミング解析とも称される。トランジスタレベルで解析を行うSPICE(米国Synopsys社のHSPICEが知られている)に代表される回路シミュレーションとは区別される。一般に、回路シミュレーションで扱える回路規模は、ゲートレベルの場合に比べかなり小さい。ゲートレベルのタイミング解析を行うための市販EDAルーツとしては、例えば、米国Synopsys社のPrime Timeなどが知られている。
ところで、LSIの設計マージンを過剰に設定すると、LSIの品質を安全に確保することができるが、反面、回路設計に無駄が多くなる。例えば、回路規模が増大するため、LSIの動作周波数などの性能が低下してしまうような結果を招く。従って、過剰でない適切な設計マージンを設定することができると共に、設定された設計マージンに基づいたLSIのタイミング設計が行なえる技術がないと、最近のシステムLSIのような性能及び品質の双方を満足することが求められるLSIを効率的に開発することは困難になる。
このような課題に対するものとして、特許文献1に記載されている技術が知られている。特許文献1に記載されている方法は、LSIを構成する回路セルの種類毎に最悪値用と最良値用のディレイティングファクターをあらかじめ求めてデータベース化しておき、それを参照しながらタイミング解析するというものである。式(6),式(7),式(8)で説明したように、ディレイティングファクターをタイミング解析対象となる回路全体、チップ全体に一律に適用するのではなく、回路セルの種類により製造ばらつきに対する遅延変動の感度が異なることを考慮できるようにする技術である。
さらに、特許文献2に記載されている技術も知られている。特許文献2に記載されている方法は、チップ上の位置によって製造ばらつきが異なることを組み込むようにする技術である。具体的には、チップ上の位置毎にLSIを構成する回路セルの最悪値用と最良値用のディレイティングファクターをあらかじめ求めておき、それを参照しながらタイミング解析するというものである。
近年、製造以外の要因によるばらつきも無視できなくなってきており、設計マージンに盛り込むことが行われている。例えば、製造以外の要因によるばらつきを表す新たにディレイティングファクター(Eworst)を追加して、次式(2’)で最悪遅延を求めるものである。製造以外の要因としては、回路セル遅延のキャラクタライズ時の誤差(後述の回路シミュレーション結果と遅延ライブラリ登録値の間の誤差)がある。
tworst =ttyp×Pworst×Vworst×Tworst×Eworst …(2’)
このEworstも過剰マージンにならないように設定すべきであるが、関連して特許文献3の技術が知られている。特許文献3の技術は、LSIを構成する回路セルの種類毎に最大誤差相当のディレイティングファクター(誤差係数)をあらかじめ求めてデータベース化しておき、それを参照しながらタイミング解析するというものである。
特開2000−40098号公報(要約書) 特開2002−222232号公報(段落[0011],[0012]) 特開平9−311877号公報(要約書)
ところで、製造ばらつきσtotalには、チップ内ばらつき成分σinとチップ外ばらつき成分σoutとがあり、ほぼ下記式(9)
σtotal=√(σin2+σout2) (9)
によって表すことができる。チップ外ばらつき成分σoutは、チップ内ではばらつきが一様であるとするもので、LSIを構成するトランジスタなどの素子全体が同じように変動するようなばらつきである。ロット間ばらつき、ウエハ間ばらつき、チップ間ばらつきなどの成分がチップ外ばらつきに含まれる。一方のチップ内ばらつき成分σinは、1つのチップ内でLSIを構成するトランジスタなどの素子が個々に変動するようなばらつきである。
ここで注意すべきは、チップ内、チップ外の両ばらつき成分の回路特性すなわちタイミングへ与える影響は同じではない、ということである。前記の従来技術および特許文献1,2においては、製造ばらつきの異なる成分がタイミング特性へ与える影響の違いを考慮していない。例えば、図28に示す回路において信号パスに沿って信号が伝搬する場合、信号パスの最悪遅延を計算する時に、各回路セルの最悪遅延の和を求めていた。この方法では、チップ内の回路セル全体が同じようにばらつくというチップ間ばらつきの影響を組み込むことはできる。しかし、チップ内ばらつきは、各回路セルが同じように変動することによるばらつきではなく、各回路セルがでたらめにすなわちランダムに変動することによるばらつきであるので、最悪遅延の単純和では実際のばらつき方を反映できないことになる。現実には、これらチップ内、チップ外の両ばらつき成分が混ざって回路特性に影響を与えており、適切な設計マージンを決定するのは容易ではない。
また、製造以外のばらつき要因である遅延誤差の設計マージンへの考慮の仕方として、従来の技術では、回路セル種毎に遅延誤差をあらかじめ求めてライブラリに登録しておく必要があり、工数が膨大になる。このようなばらつきも、回路セル個々に同様に効く変動ではなく、ランダムロジック回路では、個々の回路セルがでたらめにすなわちほぼランダムにばらつく要因となる。
本発明の目的は、LSIのタイミング設計をする際に用いられる設計マージンを、製造ばらつきの性質を主として考慮しながら現実的に決定し、その設計マージンを用いたタイミング解析方法および装置を提供することにある。
本発明の第1のタイミング解析方法は、LSI中の複数の回路セルが直列に接続された複数の信号パスについて、各信号パスの論理深さ,例えば回路セルの段数に応じて補正された各信号パスの設計マージンを計算し、補正された各信号パスの設計マージンが組み込まれた遅延を計算する方法である。
この方法により、各信号パスの回路セルの段数などによって異なるばらつき度合いに応じて、各信号パスの設計マージンを補正することができ、過剰なマージンを含まない遅延を求めることができる。
上記設計マージンとして、ディレイティングファクターとその補正係数とを用い、論理深さに応じて設計マージンの補正係数を算出することが好ましい。
また、予め信号パスの論理深さと遅延ばらつきの平均化度合いとの間の関係を求めておいて、この関係を用いて補正された設計マージンを求めることにより、より迅速にタイミング解析を行なうことができる。
上記遅延ばらつきの平均化は、製造上のチップ内要素のランダムばらつきによって生じる遅延ばらつきの平均化を含んでいる場合と、遅延誤差の平均化を含んでいる場合と、両者を含んでいる場合とがある。
信号パスは、同じタイミングで動作する2つのフリップフロップによって挟まれているように設定することが好ましい。
信号パスには、回路セルとしてバッファを配置したクロックツリー構造のクロック配線供給回路中の信号パスがある。
また、チップ内の領域によって異なる割合で補正された設計マージンを計算することにより、製造装置の光学系の特性によるチップ内の要素の構造の相違を反映したタイミング解析を行なうことができる。
本発明の第2のタイミング解析方法は、LSI中の複数の回路セルが直列に接続された複数の信号パスについて、各信号パスの設計マージン補正前のタイミング(遅延)を計算するとともに、各信号パスの論理深さ,例えば回路セルの段数に応じて各信号パスの設計マージンの補正値を計算して、設計マージンをこの補正値で補正してから、補正された各信号パスの設計マージンが組み込まれた遅延を補正前のタイミングを参照しながら計算する方法である。
この方法により、従来のタイミング解析装置に補正を行なう装置を付加することにより、各信号パスの回路セルの段数などによって異なるばらつき度合いに応じて、各信号パスの設計マージンを補正することができ、過剰なマージンを含まない遅延をより安価に求めることができる。
本発明のタイミング解析装置は、LSI中の複数の回路セルが直列に接続された複数の信号パスについて、各信号パスの論理深さを検出する手段と、各信号パスの論理深さを用いて補正された各信号パスの設計マージンを計算する手段と、補正された各信号パスの設計マージンを組み込んだ各信号パスの遅延を計算する手段とを備えている。
これにより、各信号パスの回路セルの段数などによって異なるばらつき度合いに応じて、各信号パスの設計マージンを補正することができ、過剰なマージンを含まない遅延を求めることができる。
本発明に係るLSIのタイミング解析方法又はタイミング解析装置によると、挙動が相異なる複数の製造ばらつき成分を重畳した状態での、各信号パスの特徴を勘案した設計マージン設定が可能になり、より現実に即した高精度なタイミング設計が大規模回路に対して行えるようになる。
また、製造以外のばらつき要因を考慮した設計マージン設定が省力的に可能になり、より現実に即した高精度なタイミング設計が大規模回路に対して行えるようにもなる。
(第1の実施形態)
以下、本発明の第1の実施形態に係る製造ばらつきを考慮したLSIのタイミング解析装置について図面を参照しながら説明する。
図1は、本発明の第1の実施形態に係るLSIのタイミング解析を行なうためのシステムの構成を示すブロック図である。同図に示すように、タイミング解析装置101は、LSIに関する情報を包括的に格納したLSI設計データ110の各情報格納部からの情報に基づいてタイミング解析を行なう。LSI設計データ110には、LSI中の回路セルの接続情報などを記述するネットリスト103を格納している接続情報記憶部と、回路セルの遅延情報を記述する遅延データ102をあらかじめ格納している遅延情報格納部と、段数−ディレイティングファクター依存性104を格納している依存性格納部と、ディレイティングファクター107(PVT)を格納しているファクター格納部とが設けられている。ただし、接続情報格納部,遅延情報格納部,依存性格納部,ファクター格納部などは、LSI設計データ110とは別のメモリ(記憶手段)に格納されていてもよい。
タイミング解析装置101は、ネットリスト103と、遅延データ102と、段数−ディレイティングファクター依存性104と、ディレイティングファクター107(PVT)とを読み込み、タイミング解析結果105を出力する。また、タイミング解析装置101には、信号パスセル段数検出手段106が設けられている。
図2は、本発明のタイミング解析に用いられるコンピュータシステムの構成を概略的に示す図である。図2には、第1の実施形態に係るタイミング解析装置を、コンピュータシステムに組み込んだ場合の構成を示しているが、他の実施形態についても、図2と同様のコンピュータシステムを構成することができる。
図2に示すように、コンピュータシステムは、メインコンピュータ10と、メインコンピュータ10にネットワーク12を介して接続される端末コンピュータ14とを備えている。メインコンピュータ10の記憶装置には、遅延データ102,ネットリスト103,段数−ディレイティングファクター依存性104,ディレイティングファクター107,タイミング解析結果105の他、解析手順を記述するプログラム19が格納されている。また、端末コンピュータ14には、ディスプレイ(出力装置)13と、キーボード(入力装置)15と、マウス(入力装置)16と、外部記憶装置17と、プリンター(出力装置)18とが接続されている。メインコンピュータ10と、端末コンピュータ14としては、CPU,RAM,ROM,ハードディスク,インターフェースなどを内蔵する汎用のものを用いることができる。
ユーザーは、端末コンピュータ14を入力装置から操作し、メインコンピュータ10に解析を指示すると、メインコンピュータ10により、プログラム19に沿ってタイミング解析が実行される。ユーザーは、解析の結果生成されたタイミング解析結果105を端末コンピュータ14からネットワーク12を経由して取得し、出力装置から出力させる。つまり、ディスプレイ13上に表示させ、プリンター18からプリントアウトさせる。
ここで、本実施形態における,信号パスの単位について説明する。図3は、本実施形態における回路セルの段数を定義するための信号パスの単位を示すブロック図である。同図に示すように、信号パスは共通のタイミングで動作する2つのフリップフロップ211,212同士を接続するものであり、この信号パスにおいて直列に接続されるN個の回路セルCce1〜CceNがある場合に、信号パス200の段数がN段であると定義する。図3に示す例では、2つのフリップフロップ211,212のクロック端子CLKが共通のクロック出力端子201に接続されているが、後述するように、2つのフリップフロップ211,212が必ずしも共通のクロック出力端子に接続されている必要はない。また、段数を決定する回路セルの単位については後述する。
図4は、第1の実施形態に係る製造ばらつきを考慮したLSIのタイミング解析装置による解析工程を示すフローチャートである。ここで、ステップS1は信号パスセル段数検出工程、ステップS2はディレイティングファクター決定工程、ステップS3はタイミング解析工程を示している。
−信号パスセル段数検出工程−
タイミング解析装置101は、LSI中の回路セルの接続情報などを含むネットリスト103と、回路セルの遅延情報をあらかじめ格納した遅延データ102とを読み込む。ネットリスト103には、タイミング解析対象とする回路について、回路中の回路セルの接続情報などが格納されている。遅延データ102には、信号パスの各段(着目している回路セルの入力から出力までの経路と、出力に接続されている配線を経て次段の回路セルの入力までの経路)の遅延時間の情報が格納されている。遅延データ102中に記述されている遅延時間自体は、例えば、セル種に応じた各回路セルの出力負荷容量と入力波形に対する遅延時間の依存性を表すライブラリを参照し、個々の回路セルに応じて異なる出力負荷容量と入力波形とから決定されている。同じセル種であっても、回路中での出力負荷容量と入力波形などの動作条件が異なると遅延時間も異なる。なお、遅延データ102は、Standard Delay Format(Open Verilog International, 1995)などの形式で記述されるものである。
信号パスセル段数検出手段106は、回路中の信号パスの入力から出力までの回路セルの段数をカウントし、その情報を記憶装置に保持しておく。図28に示す回路を例にとると、入力端子511―出力端子512間の信号パスAは3段、入力端子511―出力端子513間の信号パスBは2段、入力端子511―出力端子514間の信号パスCは1段となる。ただし、図28における入力端子511及び出力端子512,513,514は、それぞれ共通のクロックによって動作するフリップフロップに直接接続されているとする。
−ディレイティングファクター決定工程−
タイミング解析装置101は、まずPVT成分を含むディレイティングファクター107を読み込む。ここで、新たなディレイティングファクター補正係数Kn(≦1.0)を導入する。一般に、最悪遅延(最大遅延)は、式(10)
tworst =ttyp×Pworst×Vworst×Tworst×Kn …(10)
で計算される。タイミング解析装置101は、この計算結果を記憶装置に格納しておく。
ここで、式(10)におけるKnは、ランダムに起こるチップ内ばらつきが信号パスに沿って平均化される効果を表し、従来の製造ばらつきを係数化したディレイティングファクターを補正するための補正係数である。チップ内ばらつきが信号パスに沿って平均化される度合いは、信号パスの論理深さに依存する。ここでは、簡単のため論理深さを回路セルの段数(以下、単に段数)とする。すなわち、段数が少ないと平均化の程度は小さくKnは1.0に近い値となる。Kn=1.0ということは、ランダムばらつきによる平均化が起こらない、すべてチップ外ばらつき成分と同じ性質のばらつきと見なしていることに等しい。逆に、段数が多いと平均化が進み、ディレイティングファクター補正係数Knは小さい値をとる。
図5は、段数とディレイティングファクター補正係数Knとの関係の一例を表にして示す図である。図6は、図5に示す段数とディレイティングファクター補正係数Knとの関係をグラフにして示す図である。なお、段数とディレイティングファクター補正係数Knとの関係を関数式で表すことも可能である。
チップ内ばらつきに起因する遅延時間分布が正規分布を示していると仮定し、その標準偏差をσとする。信号パスが同じ標準偏差σiをもつ回路セルからなり、それに沿って信号が伝搬する場合には、1段目までの遅延ばらつきはσi、2段目までの遅延ばらつきはσi×√2、3段目までの遅延ばらつきはσi×√3、N段目までの遅延ばらつきはσi×√Nというようになる。従来の計算方法では、1段目までの遅延ばらつきはσi、2段目までの遅延ばらつきはσi×2、3段目までの遅延ばらつきはσi×3、N段目までの遅延ばらつきはσi×Nとされていた。このため、従来の計算方法を補正するには、簡単には1/√Nを掛ければよいことになる。
以上の考え方を、実際にはチップ外とチップ内の両ばらつきが含まれている製造ばらつきのチップ内ばらつき成分部分に適用すると、図5,図6に示す関係が得られる。段数Nが大きくなると、平均化がかなり進むので、ディレイティングファクター補正係数Knの減少がほとんど飽和状態に達し、ほとんどチップ外ばらつきの影響だけになる。ディレイティングファクター補正係数Knの下限はこの場合チップ外ばらつきで決定される。
そこで、段数−ディレイティングファクター依存性104には、あらかじめ図5または図6の関係が求め格納されている。段数Nとディレイティングファクター補正係数Knとの関係の求め方は、簡単には、前述のように、図6に示すチップ内ばらつきの寄与部分が段数Nに応じて1/√Nの関係で減少するように設定することができる。信号パスセル段数検出手段106がカウントして保持している,解析対象信号パスの段数から、当該信号パスに用いるディレイティングファクター補正係数Knが決定される。
−タイミング解析工程−
ここで、図28に示す回路において、回路セル501の標準遅延時間を0.1nS、回路セル502の標準遅延時間を0.12nS、回路セル503の標準遅延時間を0.15nSとし、それらが遅延データ102に格納されているとする。タイミング解析装置101は、遅延データ102からそれらを読み込み、記憶装置格納されている信号パス毎に決定されたディレイティングファクター補正係数Knを取り出して、最悪遅延を、
信号パスAについては、
tworst[nS]=(0.1+0.12+0.15)×1.3×1.15×1.1×0.95 …(11)
信号パスBについては、
tworst[nS]=(0.1+0.12)×1.3×1.15×1.1×0.97
…(12)
信号パスCについては、
tworst[nS]=(0.1)×1.3×1.15×1.1×1.0 …(13)
というように計算していく。式(11),式(12),式(13)の右辺最後の項が信号パスA,B,Cの段数に応じて決定されたディレイティングファクター補正係数Knを表している。
このように、タイミング解析装置101は、信号パスセル段数検出工程S1、ディレイティングファクター決定工程S2、タイミング解析工程S3を、回路に含まれる解析対象となる信号パス全てについて処理(S4)した後、解析結果105を出力する。
図7は、式(11),式(12),式(13)にしたがって求めた図28に示す回路についてのタイミング解析結果と、式(6),式(7),式(8)に示す従来の方法で求めた結果とを表にして比較する図である。図7に示されるように、段数Knが大きくなるほど本発明の最悪遅延が従来の方法に比べて小さくなるという結果がでている。このことから、本発明により、従来は過剰マージンに陥っていた問題が解決されていることがわかる。
すなわち、従来の方法では、図6に示すチップ外ばらつきとチップ内ばらつきとを含めてディレイティングファクター補正係数Knが1となっているが、本発明では、チップ内ばらつきの平均化によってディレイティングファクター補正係数Knを小さくすることができるので、過剰マージンを回避することができるのである。
このように、本実施形態では、製造ばらつきのチップ内ばらつき成分が回路遅延に与える影響が、信号パスに沿って平均化されるように、各信号パス段数に応じて設計マージンであるディレイティングファクターを補正し、補正されたディレイティングファクターを用いて遅延時間を算出しているので、現実の製造ばらつきを反映したLSIのタイミング解析が可能になる。
なお、段数−ディレイティングファクター依存性104の求め方は、本実施形態の方法以外にも、各種の方法がある。たとえば、実際の回路の信号パスを用いて回路シミュレーションなどで製造ばらつきを再現して解析し、その結果から決定する方法でもよい。
図8は、3つの任意の信号パスPath1、Path2、Path3の遅延ばらつきが信号パスに沿って平均化される様子を解析した例である。信号パスに沿って曲線が多少凹凸するのは、厳密には回路セルによって製造ばらつきに対する遅延変動の感度には差があり、それが現れているためである。しかし、概ね信号パスに沿って平均化が生じる傾向は図8に示されるとおりである。図8に示すシミュレーション結果から、図5,図6に示すような依存性を決定し、それをデータベースに格納しておいてよい。
なお、本実施形態では、図5に示す例では10段、図6に示す例では4段までしかディレイティングファクター補正係数Knを示していないが、必要に応じて、解析対象回路に含まれる信号パスの最大段数をカバーできるように用意しておけばよい。
また、図5,図6に示すように、段数が多くなると、チップ内ばらつきの寄与分は限りなく0に近づくことから、簡易にディレイティングファクター補正係数Knを決定するために、段数が一定値以上の信号パスにおいてはディレイティングファクター補正係数Knを一定値(近似的下限値)に設定して、この一定のディレイティングファクター補正係数Kn値を一律に用いることも可能である。
ところで、回路セルによっては、見かけ上単一に見えても実際にはいくつかの段数(要素)に分けられる構造を持っている場合がある。例えば、図9に示すバッファ300は、4段のインバータ301,302,303,304からなる内部構造を持っている。つまり、このバッファ300は、回路セル1つで4段相当になる。このような場合は、回路セル1つを1段とカウントせずに、その回路セルの内部構造を反映した段数である4段とカウントすればよい。また、LSI設計データにその段数情報を回路セル種毎に予め格納しておいて、それを信号パスセル段数検出手段106が参照するようにすることで、実際の段数に応じた遅延時間を算出することができる。
図10(a)〜(c)は、各回路セルについて段数を決める場合の基準を説明するためのセルの階層構造を示す図である。図10(a)に示すように、バッファ300は、すでに図9について説明したように、4段のインバータ301,302,303,304を含んでいる。図10(b)に示すように、AND310は、NAND311とインバータ312とからなり2段の回路セルを有している。一方、1つのセルでも、セル内の信号パスによって段数が異なる回路セルを有している場合がある。図10(c)に示すように、セル320は、入力端子Aにつながる経路では3段のセル要素321,322,323を有しているが、入力端子Bにつながる経路では2段のセル要素322,323を有していることになる。図10(a)〜(c)からわかるように、本発明にいう1段の回路セルは、ゲートに1つの入力を受ける1または2以上のトランジスタによって構成されていることになる。
また、本実施形態では、段数Nとして1,2,3,4,…という自然数を用いてきた。しかし、ディレイティングファクター補正係数Knの精度を調整したりする必要がある場合には、実際の信号パスの回路セル段数に近い,自然数ではない実数(例えば、Kn=3.2など)を用いてもよい。
また、本実施形態では、段数Nとして1,2,3,4,…という連続する自然数を用いてきた。しかし、段数が極めて多い回路を取り扱う場合には、段数Nを1,3,6,…というように、離散的な自然数のみを代表値として設定し、その中間の段数(例えば2,4,5)については、離散的な自然数のいずれかで近似させてもよい。
−第1の実施形態の第1の変形例−
図11は、第1の実施形態の第1の変形例に係る信号パスを示すブロック図である。第1の実施形態における,製造ばらつきを考慮したタイミング解析方法においては、図3に示すように、1つの信号パス200を区切る2つのフリップフロップ211,212が、1つのクロック出力端子201からクロック信号を受けている。
しかし、クロック信号供給回路は、根元からバッファを介して順次2方向に分岐していくクロックツリー構造を採っていることが多い。
そこで、図11に示す変形例においては、信号パス200を区切る2つのフリップフロップ211,212のクロック端子CLKが、クロック信号供給回路250の2つのクロック信号パス252,253の先端のクロック出力端子にそれぞれ接続されている。ただし、2つのクロック信号パス252,253においては、クロック信号供給回路250の入力端子251から先端のクロック出力端子までの間に、同じ数のバッファが介在しているとする。このような場合も、2つのフリップフロップ211,212が同じタイミングで動作するので、これらの間に介在する回路セルの段数に応じたディレイティングファクター補正係数Knを用いることができる。
また、クロックツリー構造を有するクロック信号供給回路250自体も、本発明のタイミング解析の対象となりうる。図11に示す例では、クロック信号パス252,253は、いずれも4段の回路セル(バッファ)を有しているので、この段数に応じて求まるディレイティングのディレイティングファクター補正係数Knを用いて、best,worst条件でのクロック信号の遅延時間を算出することができる。図11に示す例では、クロックツリーの根元から先端までの回路セル(バッファ数)の段数をすべて4段としているが、クロック信号パスによって回路セル(バッファ)の段数が異なっていてもよい。
−第1の実施形態の第2の変形例−
さらに、LSIが複数の階層から設計されているような場合では、信号パスが複数の回路ブロックにまたがることも起こりうる。その場合は、相異なる回路に存在する2つのフリップフロップにはさまれている、信号パスの入口から出口までの段数をカウントすることが求められる。
図12は、第1の実施形態の第2の変形例に係る解析対象回路の構成を示すブロック図である。同図に示すように、この変形例では、チップ271に回路ブロック272と273があり、回路ブロック273中のフリップフロップ276から始まる信号パス275が、回路ブロック272中のフリップフロップ277まで延びている。つまり、信号パス275は、複数の回路ブロック272,273中の各回路セル274を有している。このような場合は、信号パス275の段数は、回路ブロック273中で3段、回路ブロック272中で4段の計7段であり、これを図1に示す信号パスセル段数検出手段106がカウントする。これにより、複数の回路ブロックに跨る信号パスについても、第1の実施形態のタイミング解析が可能になる。
−第1の実施形態の第3の変形例−
また、第1の実施形態では、図5、図6に示すような段数−ディレイティングファクター依存性を解析対象回路に一律適用していた。しかし、これはチップ内ばらつきとチップ外ばらつきの寄与の割合(図6参照)が、チップ内で一定である仮定の下で使える方法であった。実際には、チップ内ばらつきとチップ外ばらつきの割合が、チップ内でさらに分布を持つことも起こりうる。その場合、チップを複数の領域に分割し、各領域毎に段数−ディレイティングファクター依存性を定義する方法が可能である。
図13は、第1の実施形態の第3の変形例に係るチップ構造を示す図である。図13に示す構造においては、チップ内におけるディレイティングファクターの段数依存性が領域a,b,cによって異なっている。図14は、この変形例におけるディレイティングファクターの段数依存性を示す図である。図14に示すように、この変形例では、領域a,領域b,領域cごとに、それぞれに図6に示すような依存性カーブを定義する。そして図14から、領域a内の信号パスについては領域a用のカーブを、領域b内の信号パスについては領域b用のカーブを、領域c内の信号パスについては領域c用のカーブを用いて、ディレイティングファクター補正係数Knを求め、この補正係数を用いてタイミング解析すればよい。
−最良遅延の計算−
第1の実施形態及びその各変形例では、最悪遅延(最大遅延)の計算を説明したが、最良遅延(最小遅延)の計算の場合も同様である。
図15は、最良遅延を算出する場合に用いられる段数とディレイティングファクター補正係数Knとの関係の一例を表にして示す図であって、最悪遅延の場合における図5に相当する。図16は、最良遅延を算出する場合に用いられる段数とディレイティングファクター補正係数Knとの関係の一例をグラフにして示す図であって、最悪遅延の場合における図6に相当する。最良遅延の計算には、図15または図16に示す関係を、段数−ディレイティングファクター依存性104として用意しておけばよい。そして、最悪遅延の場合と同様の処理を、最良遅延の場合のKn(≧1.0)を求め、式(14)
tbest =ttyp×Pbest×Vbest×Tbest×Kn …(14)
を用いて計算し、これを記憶装置に格納しておけばよい。
図28に示す回路について、タイミング解析装置101によって、遅延データ102からそれらを読み込み、信号パス毎に決定されたディレイティングファクター補正係数Knを記憶装置から取り出して、最良遅延は、
信号パスAについては、
tbest[nS]=(0.1+0.12+0.15)×0.7×0.85×0.9×1.05 …(15)
信号パスBについては、
tbest[nS]=(0.1+0.12)×0.7×0.85×0.9×1.03
…(16)
信号パスCについては、
tbest[nS]=(0.1)×0.7×0.85×0.9×1.0 …(17)
と計算される。
図17は、式(15),式(16),式(17)にしたがって求めた図28に示す回路についてのタイミング解析結果と、式(6),式(7),式(8)に示す従来の方法で求めた結果とを表にして比較する図である。図17に示されるように、段数が大きくなるほど本発明の最良遅延が従来に比べて大きくなるという結果がでている。このことは、遅延が増大することを意味するが、回路セルの動作タイミングが早すぎても、消費電力やクロックスキューなどが設計仕様を満たさない事態を招くこともある。このことから、本発明のタイミング解析を行なった結果を回路設計に反映させることにより、従来は遅延が小さい方向に過剰マージンが設定されていた問題が解決されていることがわかる。
また、第1の実施形態及びその変形例は、遅延データ102には標準遅延時間が格納されていることを前提としていたが、本発明のタイミング解析装置または解析方法は、それに限定されるものではない。例えば、遅延データ102にあらかじめディレイティングファクターPVTの変動分が含まれた形の遅延時間が格納されている形態でもよい。
図28に示す回路の回路セル501の標準遅延時間を0.16445nS(=0.1nS×1.3×1.15×1.1)、回路セル502の標準遅延時間を0.19734nS(=0.12nS×1.3×1.15×1.1)、回路セル503の標準遅延時間を0.246675nS(=0.15nS×1.3×1.15×1.1)とし、それらが遅延データ102に格納されているとする。この場合にも、タイミング解析装置101は、遅延データ102からそれらを読み込み、信号パス毎に決定したディレイティングファクター補正係数Knを記憶装置から取り出して、最悪遅延を、
信号パスAについては、
tworst[nS]=(0.16445+0.19734+0.246675)×0.95 …(18)
信号パスBについては、
tworst[nS]=(0.16445+0.19734)×0.97 …(19)
信号パスCについては、
tworst[nS]=(0.16445)×1.0 …(20)
と計算していくことができる。最良遅延についても同様である。
また、第1の実施形態及び各変形例は、ディレイティングファクターのP値は、すべての回路セルについて常に一定の値1.3であると想定してきた。しかし、第1の実施形態及び各変形例において、ディレイティングファクターのP値は、回路セルにより異なっていてもよい。例えば、図28に示す回路において、回路セル501の遅延時間が0.16192nS(=0.1nS×1.28×1.15×1.1)、回路セル502の標準遅延時間が0.19886nS(=0.12nS×1.31×1.15×1.1)、回路セル503の標準遅延時間が0.24098nS(=0.15nS×1.27×1.15×1.1)のような場合でも、式(18)、式(19)、式(20)と同様に、最悪遅延(または最良遅延)を計算することができる。よって、遅延データ102が種々に表現されていてもタイミング解析ができる。
−第1の実施形態の第4の変形例−
第1の実施形態及び上記各変形例においては、信号パスに沿って回路セルの遅延時間がランダムに変動することで平均化が起こることをディレイティングファクター補正係数Knによって表現している。ただし、製造プロセスによっては、チップ内ばらつきが、回路セル間で相関をもった遅延変動を起こさせる場合がある。そのような場合には、あらかじめ相関係数Rをパラメータにした段数とKnの関係を用意しておき、タイミング解析装置101が、段数―ディレイティングファクター依存性104を参照するときに、所望の相関係数の場合の依存性を選択すればよい。
図18は、段数とディレイティングファクター補正係数KnとがR=1.0,0.5,0の3種類の相関係数を有する,本変形例の段数−ディレイティングファクター依存性を表にして示す図である。図19は、段数とディレイティングファクター補正係数KnとがR=1.0,0.5,0の3種類の相関係数を有する,本変形例の段数−ディレイティングファクター依存性をグラフにして示す図である。
回路セル間のばらつきの相関係数R=1.0のときは、全回路セルの遅延が一律に変動することを示しており、従来の製造ばらつきの考慮の仕方と同じになる。すなわち、段数によってディレイティングファクター補正係数Knは変化せず、一定値1.0である。逆に、回路セル間のばらつきの相関係数R=0のときは、全回路セルの遅延が完全にランダムに変動することを示しており、最も信号パスに沿った平均化が顕著になる。相関係数が0<R<1の範囲では、それらの中間の平均化が生じることになる。依存性格納部には、この相関関係を含めた段数ーディレイティングファクター依存性104が格納されているものとする。
タイミング解析装置101は、LSI設計データ110からそれらを読み込み、信号パス毎に決定されたディレイティングファクター補正係数Knを記憶装置から取り出して、最悪遅延を算出する。ここで、相関係数R=0.5のときには、最終遅延は、
信号パスAについては、
tworst[nS]=(0.1+0.12+0.15)×1.3×1.15×1.1×0.975 …(21)
信号パスBについては、
tworst[nS]=(0.1+0.12)×1.3×1.15×1.1×0.985 …(22)
信号パスCについては、
tworst[nS]=(0.1)×1.3×1.15×1.1×1.0 …(23)
と計算される。
図20は、式(21),式(22),式(23)にしたがって求めた図28に示す回路についてのタイミング解析結果と、式(6),式(7),式(8)に示す従来の方法で求めた結果とを表にして比較する図である。このように、チップ内ばらつきによる信号パスに沿った回路セル間の平均化度合いが、相関を有するばらつきが起こる場合にも、本発明が適用できる。
以上、本発明の第1の施実形態について説明したが、本発明の1つの特徴は、製造ばらつき成分中のチップ内ばらつきの遅延変動に与える影響がそのランダム性のために信号パスに沿って平均化される効果を、設計マージンに反映してタイミング解析を行うことにある。よって、本発明を実施するに当たっての具体的な形態は、第1の実施形態及び各変形例に限定されるものではない。
(第2の実施形態)
次に、本発明の第2の実施形態に係る製造ばらつきを考慮したLSIのタイミング解析装置について図面を参照しながら説明する。第1の実施形態と説明が重複する部分は省略する。本実施形態においても、タイミング解析には、図2に示すコンピュータシステムが用いられる。
図21は、本発明の第2の実施形態に係るLSIのタイミング解析を行なうためのシステムの構成を示すブロック図である。同図に示すように、第1,第2のタイミング解析部120,122は、LSIに関する情報を包括的に格納したLSI設計データ110の各情報格納部からの情報に基づいてタイミング解析を行なう。第1の実施形態と同様に、LSI設計データ10には、LSI中の回路セルの接続情報などを記述するネットリスト103を格納している接続情報格納部と、回路セルの遅延情報を記述する遅延データ102をあらかじめ格納している遅延情報格納部と、段数−ディレイティングファクター依存性104を格納している依存性格納部と、ディレイティングファクター107(PVT)を格納しているファクター格納部とが設けられている。ただし、接続情報格納部,遅延情報格納部,依存性格納部,ファクター格納部などは、LSI設計データ110とは別のメモリ(記憶手段)に格納されていてもよい。
本実施形態が第1の実施形態と構成上大きく異なる点は、それぞれ機能の異なるタイミング解析部を2つ含む点にある。
第1のタイミング解析部120は、LSIの回路セルの接続情報などを含むネットリスト103と、回路セルの遅延情報をあらかじめ格納した遅延データ102と、ディレイティングファクター(PVT)107とを読み込み、第1のタイミング解析結果121を出力する。このタイミング解析結果121は、記憶装置に格納される。
第2のタイミング解析部122は、第1のタイミング解析結果121と、段数−ディレイティングファクター依存性104とを読み込み、第2のタイミング解析結果124を出力する。また、第2のタイミング解析部122には、信号パスセル段数検出手段123が設けられている。
遅延データ102には、信号パスの各段(着目している回路セルの入力から出力までと、出力に接続されている配線を経て次段の回路セルの入力まで)の遅延時間の情報が格納されている。遅延データ102の作成方法は、第1の実施形態における遅延データ102の作成方法と同じである。
第1のタイミング解析部120は、まずPVTが格納されているディレイティングファクター107を読み込む。次に、最悪遅延は式(2)で計算される。ディレイティングファクターPVTとして図29に示す値と、解析対象回路として図28の回路を想定すると、最悪遅延の計算は、式(6),式(7),式(8)に従う。この処理を信号パス全てについて行い、解析結果を第1のタイミング解析結果121として出力する。
図22は、第1のタイミング解析結果121のフォーマットの例を示す図である。同図に示すように、図28に示す回路の場合、第1のタイミング解析結果121には、信号パス毎に、信号パスに沿った回路セル段の遅延が順に記述されている。図22において、第1コラム121aは入口から出口へ向けての回路セルの並びを示し、in,outは回路セルの入力,出力を示す。第2コラム121bは、個々の回路セルの遅延時間を示す。第3コラム121cは、信号パスの入口からの回路セル遅延の累積遅延を示している。第4コラム121dは、各回路セルの入出力の信号遷移方向を示す。rは立上り波形、fは立下り波形を表す。
次に、第2のタイミング解析部122は、記憶装置に格納されている第1のタイミング解析結果121を読み込み、信号パスセル段数検出手段123が、回路中の各信号パスの入力から出力までの回路セルの段数をカウントし、その情報を保持しておく。図28に示す回路の例では図22に示すようなデータとなり、このデータから、入力端子511―出力端子512間の信号パスAは3段、入力端子511―出力端子513間の信号パスBは2段、入力端子511―出力端子514間の信号パスCは1段とカウントされる。
段数−ディレイティングファクター依存性104には、あらかじめ求められた図5または図6に示す段数とディレイティングファクター補正係数Knとの関係が格納されている。図5または図6に示す関係の求め方は第1の実施形態の場合と同じである。信号パスセル段数検出手段123がカウントして保持している,解析対象信号パスの段数から、当該信号パスに用いるディレイティングファクター補正係数Knを決定し、補正係数Knを記憶装置に格納しておく。
ここで、図28に示す回路において、回路セル501の標準遅延時間を0.1nS、回路セル502の標準遅延時間を0.12nS、回路セル503の標準遅延時間を0.15nSとし、それらが遅延データ102に格納されているとする。第2のタイミング解析部122は、第1のタイミング解析結果121を読み込み、信号パス毎に決定されたディレイティングファクター補正係数Knを記憶装置から取り出して、最悪遅延を、
信号パスAについては、
tworst[nS]=0.6085×0.95 …(24)
信号パスBについては、
tworst[nS]=0.3618×0.97 …(25)
信号パスCであれば、
tworst[nS]=0.1645×1.0 …(26)
というように計算していく。ここで、式(24),式(25),式(26)の右辺最後の項が信号パスA,B,Cの段数に応じて決定されたディレイティングファクター補正係数Knを表している。
信号パス全てについて計算が済むと、第2のタイミング解析部は122は、第2のタイミング解析結果124を出力する。図23は、第2のタイミング解析結果124のフォーマットを示す図である。
図23に示すように、第2のタイミング解析結果124は、図22に示す第1のタイミング解析結果121とは異なり、信号パスの入出力遅延がディレイティングファクター補正係数Knを用いて所望のランダムばらつき平均化を示すように設定されていることから、信号パスの途中の回路セルまでの累積遅延は、同じ回路セルであっても信号パスによって異なることが生じうる。例えば、信号パスA(図20のPath A)の初段のインバータの遅延は、0.1562nSであるのに、信号パスB(図20のPath B)の初段のインバータの遅延は、0.1595nSとなる。図22と図23の結果を比較整理すると、第1の実施形態と同様に、図7に示すようになる。
以上のように、第2の実施形態においても、段数が大きくなるほど本発明の最悪遅延が従来に比べて小さくなるという結果が得られている。このことから、第2の実施形態によっても、従来は過剰マージンに陥っていた問題を解決することができることがわかる。
このように、本実施形態においては、製造ばらつきのチップ内ばらつき成分の回路遅延への影響が信号パスに沿って平均化される効果を、信号パス個々の長さ(段数)に応じて異なるディレイティングファクター補正係数Knを組み込んだ,設計マージンであるディレイティングファクターを決定し、これを用いて遅延時間を算出することから、現実の製造ばらつきを反映したLSIのタイミング解析が可能になる。
また、本実施形態では、第1のタイミング解析部120として、従来のタイミング解析装置を用いることができ、それに、第2のタイミング解析部122を外付けすることによって、第1の実施形態に比べてより簡易に本発明のタイミング解析システムを実現することができる。
(第3の実施形態)
以下、本発明の第3の実施形態に係る製造以外のばらつきを考慮したLSIのタイミング解析装置について、図面を参照しながら説明する。第1の実施形態と説明が重複する部分は省略する。本実施形態においても、タイミング解析には、図1に示すタイミング解析装置101と、図2に示すコンピュータシステムとが用いられ、図4に示すフローチャートに沿って、以下のように、タイミング解析が行なわれる。
−信号パスセル段数検出工程−
タイミング解析装置101は、LSIの回路セルの接続情報などを含むネットリスト103と、回路セルの遅延情報をあらかじめ格納した遅延データ102とを読み込む。ネットリスト103には、タイミング解析対象とする回路について、回路中の回路セルの接続情報などが格納されている。遅延データ102には、信号パスの各段(着目している回路セルの入力から出力までの経路と、出力に接続されている配線を経て次段の回路セルの入力までの経路)の遅延時間の情報が格納されている。遅延データ102中に記述されている遅延時間自体は、例えば、セル種に応じた各回路セルの出力負荷容量と入力波形に対する遅延時間の依存性を表すライブラリを参照し、個々の回路セルに応じて異なる出力負荷容量と入力波形とから決定されている。同じセル種であっても、回路中での出力負荷容量と入力波形などの動作条件が異なると遅延時間も異なる。
信号パスセル段数検出手段106は、回路中の信号パスの入力から出力までの回路セルの段数をカウントし、その情報を保持しておく。図28に示す回路を例にとると、入力端子511―出力端子512間の信号パスAは3段、入力端子511―出力端子513間の信号パスBは2段、入力端子511―出力端子514間の信号パスCは1段となる。ただし、図28における入力端子511及び出力端子512,513,514は、それぞれ共通のクロックによって動作するフリップフロップに直接接続されているとする。
−ディレイティングファクター決定工程−
タイミング解析装置101は、まずPVT成分を含むディレイティングファクター107を読み込む。ここで、新たなディレイティングファクター補正係数En(後述のようにマイナスの誤差の場合はEn≦1.0、プラスの誤差の場合はEn≧1.0)を導入する。最悪遅延は、式(27)
tworst =ttyp×Pworst×Vworst×Tworst×En …(27)
で計算される。
ここで、式(27)におけるEnは、ランダムに起こる遅延誤差が信号パスに沿って平均化される効果を表し、標準遅延ttypを補正するための補正係数である。遅延誤差ばらつきが信号パスに沿って平均化される度合いは、信号パスの論理深さに依存する。ここでは、簡単のため論理深さを回路セルの段数(以下、単に段数)とする。すなわち段数が少ないと平均化の程度は小さく補正係数Enは1.0から大きく離れた値となる。逆に、段数が多いと平均化が進み、補正係数Enは1.0に近づく。この補正係数Enは記憶装置に格納される。
ここでいう遅延誤差とは、ゲートレベルのタイミング解析(遅延計算)誤差を意味し、実際に製造されたチップの遅延とゲートレベルの遅延計算された遅延との差でもよいし、とトランジスタレベルの回路シミュレーションから求められた遅延とゲートレベルの遅延計算された遅延との差でもよい。段数−ディレイティングファクター依存性104は、例えば以下のように求められる。
図27(a),(b)は、それぞれ順に、ある28段の回路セルからなる信号パスに沿った各回路セルの遅延誤差及び信号パスの累積遅延誤差を示す図である。図27(a),(b)において、横軸は、信号パスに沿って入口から出口に向かう方向におけるセル段数を表している。
図27(a)に示すように、遅延誤差は回路セルによって異なり、正の遅延誤差をもつ回路セルもあれば負の遅延誤差をもつ回路セルもある。また、大きな遅延誤差をもつ回路セルもあれば小さな遅延誤差をもつ回路セルもある。
図27(b)に示すように、多少凹凸はあるものの、遅延誤差の絶対値は、信号パスの出口ではかなり平均化されて小さくなっていることがわかる。すなわち、入口の1段目では15%近い遅延誤差(En=1.15)が、出口の28段目では1%(En=1.01)程度になっている。このような関係を種々の信号パスについて調べた結果から、段数−ディレイティングファクター依存性を決定することができる。マイナスの遅延誤差を組み込みたい場合,例えばマイナス15%の場合には、En=0.85というように決定すればよい。
図24は、段数と補正係数Enとの関係の一例を表にして示す図である。また、図25は、図24に示す段数と補正係数Enとの関係をグラフにして示す図である。なお、段数と補正係数Enとの関係を関数で表すことも可能である。
図25から、この例では、1段の信号パスの場合、誤差10%の設計マージンを追加で見込む必要があることになる。しかし、3段の信号パスでは、誤差5%の設計マージンの追加でよくなる。
段数−ディレイティングファクター依存性104には、あらかじめ求められた図24または図25に示す関係が格納されている。すなわち、第1の実施形態では、段数とディレイティングファクター補正係数Knの関係が格納されていたが、第3の実施形態では段数と補正係数Enとの関係が格納されている。
−タイミング解析工程−
図28に示す回路の回路セル501の標準遅延時間を0.1nS、回路セル502の標準遅延時間を0.12nS、回路セル503の標準遅延時間を0.15nSとし、それらが遅延データ102に格納されているとする。タイミング解析装置101は、遅延データ102からそれらを読み込み、信号パス毎に決定されたディレイティングファクター補正係数Enを記憶装置から取り出して、最悪遅延を、
信号パスAについては、
tworst[nS]=(0.1+0.12+0.15)×1.3×1.15×1.1×1.05 …(28)
信号パスBについては、
tworst[nS]=(0.1+0.12)×1.3×1.15×1.1×1.07
…(29)
信号パスCについては、
tworst[nS]=(0.1)×1.3×1.15×1.1×1.1 …(30)
というように計算していく。式(28),式(29),式(30)の右辺最後の項が信号パスA,B,Cの段数に応じて決定されたディレイティングファクターの補正係数Enを表している。
このように、信号パスセル段数検出工程S1、ディレイティングファクター決定工程S2、タイミング解析工程S3を、回路に含まれる解析対象となる信号パス全てについて処理し、タイミング解析装置101は、解析結果105を出力する。
図26は、式(28),式(29),式(30)にしたがって本実施形態で求めた図28の3信号パスについてのタイミング解析結果と、式(2’)で示した従来の方法で求めた結果と表にして比較する図である。図26中の従来の最悪遅延は、式(2’)にEn=1.1を代入して計算している。段数が大きくなるほど本発明の最悪遅延が従来に比べて小さくなるという結果がでている。このことから、本実施形態により、従来は過剰マージンに陥っていた問題を解決することができることがわかる。しかも、それが特許文献3のように別途回路セル種毎の遅延誤差をデータベース化しておくことも不要で、省力的にタイミング解析が行える。
このように、本実施形態では、製造以外のばらつき要因のなかの遅延誤差要因の回路遅延への影響が信号パスに沿って平均化される効果を、信号パス中の回路セルの段数に応じて異なる補正係数Enによって補正された設計マージンであるディレイティングファクターを決定し、この補正されたディレイティングファクターを用いて遅延時間を算出している。これにより、現実のばらつきを反映したLSIのタイミング解析が可能になる。
なお、本実施形態では、シミュレーションにおけるばらつき要因を平均化するためのディレイティングファクター補正係数Enを組み込んだタイミング解析を行なっているが、第1,第2の実施形態において用いた製造のばらつき要因を平均化するためのディレイティングファクター補正係数Knも組み込む形態でもよい。その場合は、両者の相乗効果で過剰マージンを回避することができ、著効が得られることになる。
本発明は、CMOSデバイスなどを配置したLSIの開発などの際に、各種ばらつきを考慮したタイミング解析を行なう方法、又はタイミング解析装置として利用することができる。
本発明の第1の実施形態に係るLSIのタイミング解析を行なうためのシステムの構成を示すブロック図である。 本発明のタイミング解析に用いられるコンピュータシステムの構成を概略的に示す図である。 本実施形態における回路セルの段数を定義するための信号パスの単位を示すブロック図である。 第1の実施形態に係る製造ばらつきを考慮したLSIのタイミング解析装置による解析工程を示すフローチャートである。 第1の実施形態における段数とディレイティングファクター補正係数Knとの関係の一例を表にして示す図である。 図5に示す段数とディレイティングファクター補正係数Knとの関係をグラフにして示す図である。 第1の実施形態による図28に示す回路についてのタイミング解析結果と、従来の方法で求めた結果とを表にして比較する図である。 3つの任意の信号パスPath1、Path2、Path3の遅延ばらつきが信号パスに沿って平均化される様子を解析した例である。 内部構造を有する回路セルの例を示す図である。 (a)〜(c)は、各回路セルについて段数を決める場合の基準を説明するためのセルの階層構造を示す図である。 第1の実施形態の第1の変形例に係る信号パスを示すブロック図である。 第1の実施形態の第2の変形例に係る解析対象回路の構成を示すブロック図である。 第1の実施形態の第3の変形例に係るチップ構造を示す図である。 第1の実施形態の第3の変形例におけるディレイティングファクター補正係数Knの段数依存性を示す図である。 最良遅延を算出する場合に用いられる段数とディレイティングファクター補正係数Knとの関係の一例を表にして示す図である。 最良遅延を算出する場合に用いられる段数とディレイティングファクター補正係数Knとの関係の一例をグラフにして示す図である。 第1の実施形態において最良遅延について図28に示す回路についてのタイミング解析結果と、従来の方法で求めた結果とを表にして比較する図である。 第1の実施形態の第4の変形例に係る相関係数毎のディレイティングファクター補正係数Knの段数依存性を表にしたものである。 図18に示す段数と補正係数Knとの関係をグラフにして示す図である。 第1の実施形態の第4の変形例で求めた図28の3信号パスについてのタイミング解析結果と、従来の方法で求めた結果とを表にして比較する図である。 本発明の第2の実施形態に係るLSIのタイミング解析を行なうためのシステムの構成を示すブロック図である。 第2の実施形態における第1のタイミング解析結果のフォーマットの例を示す図である。 第2の実施形態における第2のタイミング解析結果のフォーマットを示す図である。 第3の実施形態における段数と補正係数Enとの関係の一例を表にして示す図である。 図24に示す段数と補正係数Enとの関係をグラフにして示す図である。 第3の実施形態で求めた図28の3信号パスについてのタイミング解析結果と、来従の方法で求めた結果と表にして比較する図である。 (a),(b)は、それぞれ順に、ある28段の回路セルからなる信号パスに沿った各回路セルの遅延誤差及び信号パスの累積遅延誤差を示す図である。 従来技術及び本発明の実施形態におけるタイミング解析を行なう対象となる回路のブロック図である。 ディレイティングファクターの具体的な値の例を表にして示す図である。 従来のタイミング解析を行なうためのシステムの構成を示すブロック図である。
符号の説明
10 メインコンピュータ
12 ネットワーク
13 ディスプレイ
14 端末コンピュータ
15 キーボード
16 マウス
17 外部記憶装置
18 プリンター
101 タイミング解析装置
102 遅延データ
103 ネットリスト
104 段数−ディレイティングファクター依存性
105 タイミング解析結果
106 信号パスセル段数検出手段
107 ディレイティングファクターPVT
120 第1のタイミング解析部
121 第1のタイミング解析結果
122 第2のタイミング解析部
123 信号パスセル段数検出手段
124 第2のタイミング解析結果
200 信号パス
201 クロック信号
211,212 フリップフロップ
250 クロック信号供給回路
251 入力端子
252,253 クロック信号パス
271 チップ
272,273 回路ブロック
274 回路セル
275 信号パス
276,277 フリップフロップ
300 バッファ
301〜304 インバータ
310 AND
311 NAND
312 インバータ
320 回路セル
321〜323 回路セル要素
350 チップ
Cce 回路セル
500 回路
501 インバータ
502 NAND
503 NOR
511 入力端子
512〜514 出力端子

Claims (11)

  1. 複数の回路セルが直列に接続された複数の信号パスを有するLSIのタイミング解析方法であって、
    LSI設計データに格納されているLSI中の回路セルの情報を用いて、上記各信号パスの論理深さを検出する工程(a)と、
    上記各信号パスの論理深さに応じて補正された各信号パスの設計マージンを計算し、各信号パスの設計マージンを記憶手段に格納する工程(b)と、
    上記記憶手段から取り出した,補正された各信号パスの設計マージンが組み込まれた遅延を計算する工程(c)と
    を含むタイミング解析方法。
  2. 請求項1記載のタイミング解析方法において、
    上記論理深さとして、上記信号パスに含まれる回路セルの段数を用いる,タイミング解析方法。
  3. 請求項1または2記載のタイミング解析方法において、
    上記設計マージンとして、ディレイティングファクターとその補正係数とを用い、
    上記工程(b)では、論理深さに応じて各信号パスの設計マージンの補正係数を算出する,タイミング解析方法。
  4. 請求項1〜3のうちいずれか1つに記載のタイミング解析方法において、
    上記LSI設計データまたは他の記憶手段に予め信号パスの論理深さと遅延ばらつきの平均化度合いとの間の関係を格納しておいて、
    上記工程(b)では、当該信号パスの論理深さと遅延ばらつきの平均化度合いとの間の関係を用いて補正された設計マージンを求める,タイミング解析方法。
  5. 請求項4記載のタイミング解析方法において、
    上記遅延ばらつきの平均化は、製造上のチップ内要素のランダムばらつきによって生じる遅延ばらつきの平均化を含んでいる,タイミング解析方法。
  6. 請求項4または5記載のタイミング解析方法において、
    上記遅延ばらつきの平均化は、遅延誤差の平均化を含んでいる,タイミング解析方法。
  7. 請求項1〜6のうちいずれか1つに記載のタイミング解析方法において、
    上記信号パスは、同じタイミングで動作する2つのフリップフロップによって挟まれている,タイミング解析方法。
  8. 請求項1〜7のうちいずれか1つに記載のタイミング解析方法において、
    上記信号パスには、回路セルとしてバッファを配置したクロックツリー構造のクロック配線供給回路中の信号パスが含まれる,タイミング解析方法。
  9. 請求項1〜8のうちいずれか1つに記載のタイミング解析方法において、
    上記工程(b)では、チップ内の領域によって異なる割合で補正された設計マージンを計算する,タイミング解析方法。
  10. 各々1または2以上の回路セルが介設された複数の信号パスを有するLSIのタイミング解析方法であって、
    LSI設計データに格納されているLSI中の回路セルの情報と、補正前のディレイティングファクターを用いて各信号パスの遅延を求め、補正前タイミング解析結果として第1の記憶手段に格納するステップ(a)と、
    セルライブラリに格納されているLSI中の回路セルの情報を用いて、上記各信号パスの論理深さを計算する工程(b)と、
    上記各信号パスの論理深さに応じて各信号パスの設計マージンの補正値を計算し、各信号パスの設計マージンの補正値を第2の記憶手段に格納する工程(c)と、
    上記第1の記憶手段から取り出した補正前タイミング解析結果を上記第2の記憶手段から取り出した補正値で補正して、補正された各信号パスの設計マージンが組み込まれた遅延を計算する工程(d)と
    を含むタイミング解析方法。
  11. 複数の回路セルが直列に接続された複数の信号パスを有するLSIのタイミング解析装置であって、
    上記各信号パスの論理深さを検出する論理深さ検出手段と、
    検出された各信号パスの論理深さを用いて補正された各信号パスの設計マージンを計算する設計マージン決定手段と、
    上記補正された各信号パスの設計マージンを組み込んだ各信号パスの遅延を計算するタイミング解析手段と
    を備えているタイミング解析装置。
JP2004173113A 2004-06-10 2004-06-10 タイミング解析方法およびタイミング解析装置 Pending JP2005352787A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004173113A JP2005352787A (ja) 2004-06-10 2004-06-10 タイミング解析方法およびタイミング解析装置
US11/101,572 US7222319B2 (en) 2004-06-10 2005-04-08 Timing analysis method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004173113A JP2005352787A (ja) 2004-06-10 2004-06-10 タイミング解析方法およびタイミング解析装置

Publications (1)

Publication Number Publication Date
JP2005352787A true JP2005352787A (ja) 2005-12-22

Family

ID=35460380

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004173113A Pending JP2005352787A (ja) 2004-06-10 2004-06-10 タイミング解析方法およびタイミング解析装置

Country Status (2)

Country Link
US (1) US7222319B2 (ja)
JP (1) JP2005352787A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008217350A (ja) * 2007-03-02 2008-09-18 National Institute Of Advanced Industrial & Technology ディジタルシステムの設計システムおよびディジタルシステムの製造方法
JP2011113228A (ja) * 2009-11-25 2011-06-09 Fujitsu Ltd 解析支援プログラム、解析支援装置、および解析支援方法
US8024685B2 (en) 2007-03-27 2011-09-20 Fujitsu Limited Delay analysis support apparatus, delay analysis support method and computer product
JP2013097589A (ja) * 2011-11-01 2013-05-20 Fujitsu Ltd 遅延解析プログラム,遅延解析装置および遅延解析方法
US11797741B2 (en) 2021-06-17 2023-10-24 Fujitsu Limited Computer-readable recording medium storing timing library creation program, method of creating timing library, and timing analysis apparatus

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004252831A (ja) * 2003-02-21 2004-09-09 Matsushita Electric Ind Co Ltd Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法
US7474310B2 (en) * 2005-08-12 2009-01-06 Microsoft Corporation Object association in a computer generated drawing environment
JP2007257342A (ja) * 2006-03-23 2007-10-04 Matsushita Electric Ind Co Ltd 半導体集積回路の設計装置及び設計方法
JP4629607B2 (ja) * 2006-03-31 2011-02-09 富士通セミコンダクター株式会社 半導体集積回路のタイミング検証方法及びタイミング検証装置
US8365115B2 (en) * 2009-03-06 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for performance modeling of integrated circuits
US8117575B2 (en) * 2009-08-10 2012-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for on-chip-variation analysis
US20110107291A1 (en) * 2009-11-05 2011-05-05 International Business Machines Corporation Design system and method that, during timing analysis, compensates for regional timing variations
US8516424B2 (en) 2011-09-27 2013-08-20 Lsi Corporation Timing signoff system and method that takes static and dynamic voltage drop into account
US20130152034A1 (en) * 2011-12-09 2013-06-13 Lsi Corporation System and method for reducing integrated circuit timing derating
US8656331B1 (en) * 2013-02-14 2014-02-18 Freescale Semiconductor, Inc. Timing margins for on-chip variations from sensitivity data
TWI634446B (zh) * 2016-06-21 2018-09-01 瑞昱半導體股份有限公司 混和信號電路系統模擬方法及相關電子裝置
US11301606B1 (en) * 2021-05-11 2022-04-12 Windbond Electronics Corp. Counting method for counting the stage number passing through a signal path on a graphical user interface

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5274568A (en) * 1990-12-05 1993-12-28 Ncr Corporation Method of estimating logic cell delay time
US5896299A (en) * 1995-10-13 1999-04-20 Vlsi Technology, Inc. Method and a system for fixing hold time violations in hierarchical designs
JPH09311877A (ja) 1996-05-22 1997-12-02 Matsushita Electric Ind Co Ltd 遅延時間計算方法及び論理シミュレーション装置
US6090152A (en) * 1997-03-20 2000-07-18 International Business Machines Corporation Method and system for using voltage and temperature adders to account for variations in operating conditions during timing simulation
US5845233A (en) * 1997-07-30 1998-12-01 Lucent Technologies, Inc. Method and apparatus for calibrating static timing analyzer to path delay measurements
JP2000040098A (ja) 1998-07-24 2000-02-08 Mitsubishi Electric Corp 半導体集積回路設計方法
US7010475B2 (en) * 1999-05-03 2006-03-07 Koninklijke Philips Electronics N.V. Derating factor determination for integrated circuit logic design tools
US6820048B1 (en) * 2000-02-29 2004-11-16 Lsi Logic Corporation 4 point derating scheme for propagation delay and setup/hold time computation
US6484297B1 (en) * 2000-02-29 2002-11-19 Lsi Logic Corporation 4K derating scheme for propagation delay and setup/hold time computation
US6795802B2 (en) * 2000-03-17 2004-09-21 Matsushita Electric Industrial Co., Ltd. Apparatus and method for calculating temporal deterioration margin amount of LSI, and LSI inspection method
JP2001306647A (ja) 2000-04-21 2001-11-02 Matsushita Electric Ind Co Ltd タイミング検証方法
US7020589B1 (en) * 2000-09-29 2006-03-28 Lsi Logic Corporation Method and apparatus for adaptive timing optimization of an integrated circuit design
JP2002222232A (ja) 2001-01-29 2002-08-09 Toshiba Corp 論理シミュレーション装置、論理シミュレーション方法、およびコンピュータ読み取り可能な記録媒体
JP3667665B2 (ja) * 2001-08-01 2005-07-06 松下電器産業株式会社 集積回路の特性評価方法及びその設計方法
WO2003060776A1 (fr) 2002-01-11 2003-07-24 Fujitsu Limited Procede et systeme de calcul du temps de retard d'un circuit integre a semi-conducteurs
AU2003223221A1 (en) * 2002-03-06 2003-09-22 Tyco Electronics Corporation Pluggable electronic module and receptacle with heat sink
US7474999B2 (en) * 2002-12-23 2009-01-06 Cadence Design Systems, Inc. Method for accounting for process variation in the design of integrated circuits
JP2004252831A (ja) * 2003-02-21 2004-09-09 Matsushita Electric Ind Co Ltd Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法
JP4282437B2 (ja) 2003-09-02 2009-06-24 Necエレクトロニクス株式会社 集積回路の遅延時間計算方法及びタイミング解析システム、遅延時間計算プログラム
JP2005093563A (ja) * 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd 半導体集積回路およびその設計方法
JP2005122298A (ja) * 2003-10-14 2005-05-12 Fujitsu Ltd タイミング解析装置、タイミング解析方法及びプログラム
US7092838B1 (en) * 2004-06-04 2006-08-15 Sierra Design Automation, Inc. Method and apparatus for the analysis and optimization of variability in nanometer technologies
US7121898B2 (en) * 2004-06-16 2006-10-17 Tyco Electronics Corporation Shielding configuration for a multi-port jack assembly
US7355857B2 (en) * 2006-02-07 2008-04-08 Methode Electronics, Inc. Heat sink gasket

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008217350A (ja) * 2007-03-02 2008-09-18 National Institute Of Advanced Industrial & Technology ディジタルシステムの設計システムおよびディジタルシステムの製造方法
US8024685B2 (en) 2007-03-27 2011-09-20 Fujitsu Limited Delay analysis support apparatus, delay analysis support method and computer product
JP2011113228A (ja) * 2009-11-25 2011-06-09 Fujitsu Ltd 解析支援プログラム、解析支援装置、および解析支援方法
JP2013097589A (ja) * 2011-11-01 2013-05-20 Fujitsu Ltd 遅延解析プログラム,遅延解析装置および遅延解析方法
US11797741B2 (en) 2021-06-17 2023-10-24 Fujitsu Limited Computer-readable recording medium storing timing library creation program, method of creating timing library, and timing analysis apparatus

Also Published As

Publication number Publication date
US7222319B2 (en) 2007-05-22
US20050276135A1 (en) 2005-12-15

Similar Documents

Publication Publication Date Title
US7222319B2 (en) Timing analysis method and apparatus
US7239997B2 (en) Apparatus for statistical LSI delay simulation
JP4061295B2 (ja) デジタル回路の統計的タイミング解析のためのシステムおよび方法
US6721924B2 (en) Noise and power optimization in high performance circuits
US20080034338A1 (en) Timing analysis method and device
US8196077B2 (en) Cell-library-for-statistical-timing-analysis creating apparatus and statistical-timing analyzing apparatus
US10783301B2 (en) Analyzing delay variations and transition time variations for electronic circuits
JP2005011892A (ja) Lsiの設計マージンの設定方法
US7295938B2 (en) Clock jitter calculation device, clock jitter calculation method, and clock jitter calculation program
US20080077380A1 (en) Power consumption peak estimation program for LSI and device therefor
US8255850B2 (en) Fabricating IC with NBTI path delay within timing constraints
US6795951B2 (en) Method and system for fault-tolerant static timing analysis
US6745371B2 (en) Low Vt transistor substitution in a semiconductor device
US10789406B1 (en) Characterizing electronic component parameters including on-chip variations and moments
US7424693B2 (en) Methods for measurement and prediction of hold-time and exceeding hold time limits due to cells with tied input pins
US6327557B1 (en) Method and system for creating electronic circuitry
US20110099531A1 (en) Statistical delay and noise calculation considering cell and interconnect variations
KR100482894B1 (ko) 반도체디바이스에서의소자크기들을최적화하는방법
US8078428B2 (en) Delay variation analysis apparatus and delay variation calculation method
US8818784B1 (en) Hardware description language (HDL) incorporating statistically derived data and related methods
US8701063B1 (en) Compressing scenarios of electronic circuits
US10885248B1 (en) Method for modeling glitches during circuit simulation
JP5338919B2 (ja) 集積回路の消費電力算出方法、消費電力算出プログラム及び消費電力算出装置
JP2005259107A (ja) 回路シミュレーション方法および回路シミュレーション装置
Charafeddine et al. New voltage and temperature scalable gate delay model applied to a 14nm technology

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080122

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080603