TWI634446B - 混和信號電路系統模擬方法及相關電子裝置 - Google Patents

混和信號電路系統模擬方法及相關電子裝置 Download PDF

Info

Publication number
TWI634446B
TWI634446B TW105119416A TW105119416A TWI634446B TW I634446 B TWI634446 B TW I634446B TW 105119416 A TW105119416 A TW 105119416A TW 105119416 A TW105119416 A TW 105119416A TW I634446 B TWI634446 B TW I634446B
Authority
TW
Taiwan
Prior art keywords
circuit block
circuit
circuit system
converted
timing analysis
Prior art date
Application number
TW105119416A
Other languages
English (en)
Other versions
TW201800968A (zh
Inventor
陳英傑
余美儷
王鼎雄
羅幼嵐
高淑怡
Original Assignee
瑞昱半導體股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 瑞昱半導體股份有限公司 filed Critical 瑞昱半導體股份有限公司
Priority to TW105119416A priority Critical patent/TWI634446B/zh
Priority to US15/628,636 priority patent/US10521529B2/en
Publication of TW201800968A publication Critical patent/TW201800968A/zh
Application granted granted Critical
Publication of TWI634446B publication Critical patent/TWI634446B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3315Design verification, e.g. functional simulation or model checking using static timing analysis [STA]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/3167Testing of combined analog and digital circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

一種混和信號電路系統模擬方法,其中該方法包含:偵測一電路系統中所包含的多個暫存器的位置以及一時脈訊號,其中該電路系統為一混和信號電路系統;對耦接於任意兩個暫存器之間的一電路區塊執行一時序分析轉換操作以得到一轉換後電路系統;以及對該轉換後電路系統執行一靜態時序分析操作;其中當該電路區塊可轉換為一組合電路區塊時,該時序分析轉換操作包含:將該電路區塊轉換為該組合電路區塊,其中該組合電路區塊為邏輯閘層次。

Description

混和信號電路系統模擬方法及相關電子裝置
本發明係有關於一種模擬方法,尤指一種混和信號電路系統模擬方法以及相關電子裝置。
靜態時序分析(Static Timing Analysis, STA)是一種在電子工程中對數位電路的時序進行計算、預計的工作流程,其在電路時序快速、準確的測量中扮演了重要角色,是近幾十年來相關設計領域中的主要技術方法,而時下已經有成熟的數位電路時序引擎可針對數位電路的標準元件直接進行靜態時序分析。然而,對於具有數位電路區塊如暫存器或正反器等等的類比電路系統(即,混和信號電路系統),卻沒有一套可直接進行靜態時序分析的分析工具。先前技術中,要對混和信號電路系統進行時序分析,會直接模擬整個電路系統,並且針對暫存器或正反器直接給予輸入值,再觀察系統是否具有靜態時序分析違例(violation),然而此作法將耗費大量時間;亦或者,先前技術中擷取混和信號電路系統中的兩個暫存器之間的電路路徑,並對此電路路徑進行模擬分析,但是此做法卻無法考量到其餘路徑上的負載或其他會造成影響的電路效應,也無法考量到先進製程中的電性飄移效應,模擬結果不盡準確。
本發明的目的之一在於提出一種針對混和信號電路系統的模擬方法及相關電子裝置以解決上述問題。
根據本發明一實施例,揭露一種混和信號(mixed-signal)電路系統模擬方法,其中該方法包含:偵測一電路系統中所包含的多個暫存器的位置以及一時脈訊號,其中該電路系統為一混和信號電路系統;對耦接於任意兩個相鄰暫存器之間的一電路區塊執行一時序分析轉換操作以得到一轉換後電路系統;以及對該轉換後電路系統執行一靜態時序分析(Static Timing Analysis, STA )操作;其中當該電路區塊可轉換為一組合電路(combinational circuit)區塊時,該時序分析轉換操作包含:將該電路區塊轉換為該組合電路區塊,其中該組合電路區塊為邏輯閘層次(gate level)。
根據本發明一實施例,揭露一種電子裝置,其中該電子裝置包含: 一處理器;以及一儲存裝置,儲存一程式碼,其中當該處理器載入並執行該程式碼時,會執行以下操作:偵測一電路系統中所包含的多個暫存器的位置以及一時脈訊號,其中該電路系統為一混和信號電路系統;對耦接於任意兩個相鄰暫存器之間的一電路區塊執行一時序分析轉換操作以得到一轉換後電路系統;以及對該轉換後電路系統執行一靜態時序分析(Static Timing Analysis, STA )操作; 其中當該電路區塊可轉換為一組合電路(combinational circuit)區塊時,該時序分析轉換操作包含:將該電路區塊轉換為該組合電路區塊,其中該組合電路區塊為邏輯閘層次(gate level)。
在說明書及後續的申請專利範圍當中使用了某些詞彙來指稱特定的元件。所屬領域中具有通常知識者應可理解,硬體製造商可能會用不同的名詞來稱呼同一個元件。本說明書及後續的申請專利範圍並不以名稱的差異來作為區分元件的方式,而是以元件在功能上的差異來作為區分的準則。在通篇說明書及後續的請求項當中所提及的「包含」係為一開放式的用語,故應解釋成「包含但不限定於」。此外,「耦接」一詞在此係包含任何直接及間接的電氣連接手段,因此,若文中描述一第一裝置耦接於一第二裝置,則代表該第一裝置可直接電氣連接於該第二裝置,或者透過其他裝置或連接手段間接地電氣連接至該第二裝置。
第1圖係根據本發明一實施例之對一電路系統101應用一模擬方法的一模擬裝置100示意圖,其中,電路系統101為一混和信號(Mixed-signal)電路系統。如第1圖所示,模擬裝置100包含一偵測模塊110、一轉換模塊120以及一分析模塊130,其中偵測模塊110係用以偵測混和信號電路系統101中所包含的多個暫存器R1至Rn以及時脈訊號CK的位置,在一實施例中,偵測模塊110可利用查找混和信號電路系統101的網表(netlist)的方式查找偵測混和信號電路系統101中所包含的多個暫存器R1至Rn以及時脈訊號CK的位置;轉換模塊120係用以對混和信號電路系統101中耦接於任意兩個暫存器(如R1與R2之間或R1與Rn之間)的所有電路區塊執行一時序分析轉換操作以得到一轉換後電路系統;分析模塊130係用以對該轉換後電路系統執行一靜態時序分析(Static Timing Analysis, STA),在一實施例中,分析模塊130可利用一數位電路時序分析工具直接對轉換後電路系統執行靜態時序分析。
第2圖係根據本發明一實施例之一混和信號電路系統200的示意圖,如第2圖所示,混和信號電路系統200包含一時脈訊號CK、暫存器R1及R2,以及電路區塊201、202、203及204,需注意的是,混和信號電路系統200並不限定其所包含的暫存器數量,亦即,混和信號電路系統200可包含一個或多個暫存器R1-Rn,第2圖中的暫存器R1與R2僅為範例說明,另外,混和信號電路系統200並不限定其所包含的電路區塊數量,亦即,混和信號電路系統200可包含一個或多個電路區塊,且第2圖中的電路區塊201-204同樣僅為範例說明。混和信號電路系統200中的電路區塊201-204為電晶體層次(transistor level)的類比電路,舉例來說,電路區塊201-204可為一放大器、多工器等,而電路區塊201-204的實際電路架構與功能並非本發明的一限制。在進行本發明所提出的混和信號電路系統模擬方法時,第1圖所示的模擬裝置100所包含的偵測模塊110偵測混和信號電路系統200中的暫存器R1與R2以及時脈訊號CK的位置,實作上,偵測模塊110可透過查找混和信號電路系統200的網表找出暫存器R1與R2以及時脈訊號CK的位置;接著,轉換模塊120先偵測耦接於暫存器R1與R2之間的電路區塊201-204是否可直接轉換為一邏輯閘層次(gate level)的組合電路(combinational circuit)並對電路區塊201-204執行一時序分析轉換操作,舉例來說,系統可透過對電路區塊201輸入邏輯值0或1,觀察電路區塊201的輸出結果來決定電路區塊是否具有可轉換的邏輯閘層次電路函數,例如根據輸出結果,電路區塊201可轉換為緩衝器、反相器、及閘、或閘或負載等等效電路,若是轉換模塊120偵測電路區塊可以轉換為一邏輯閘層次的組合電路,則此時所執行的該時序分析轉換操作則直接將電路區塊轉換為相對應的組合電路;若轉換模塊120偵測電路區塊無法轉換為任一邏輯閘層次的組合電路,該時序分析轉換操作則包含由一使用者透過使用者輸入直接設定該電路區塊的時序值,舉例來說,若電路區塊203為一放大器,則可由使用者輸入當訊號從輸入端輸入到輸出端輸出所經過的時間。詳細來說,若轉換模塊120偵測電路區塊201-204中僅有電路區塊203無法轉換為相對應的邏輯閘層次的組合電路,則轉換模塊120將電路區塊201、202以及204分別轉換為相對應的組合電路,例如電路區塊201可轉換為一緩衝器,電路區塊202可轉換為一及閘,其中該及閘的輸入為一信號S1,而電路區塊204可等效為一負載,並且另透過使用者輸入設定電路區塊203的時序值,在對所有電路區塊執行完時序分析轉換操作之後即可得到一轉換後電路系統。參考第3圖,第3圖係根據本發明一實施例之應用模擬方法所得到的一轉換後電路系統300的示意圖,其中電路區塊301、302、304分別為電路區塊201、202、204進行轉換後所得到的邏輯閘層次的電路區塊,如上所述,轉換後的電路區塊301可以等效為一緩衝器,電路區塊302可以是一及閘,其中該及閘的輸入為訊號S1,而電路區塊304可以是一負載,然而,此僅為一範例說明,各電路區塊的轉換後結果並非本發明的一限制。而電路區塊203經由使用者直接設定時序值得到區塊303。如第3圖所示,由於僅包含了邏輯閘層次的電路區塊301、302與304、暫存器R1-R2以及一時序值,轉換後電路系統300可視為一數位電路系統,最後,分析模塊130可直接利用一現有的數位電路時序分析引擎對轉換後電路系統300進行靜態時序分析。
第4圖係根據本發明一實施例之混和信號電路系統模擬方法之流程圖,倘若大體上可達到相同的結果,並不一定需要遵照第4圖中所示流程的步驟順序來進行,混和信號電路系統模擬方法將以下列步驟簡單歸納。
步驟400: 偵測混和信號電路系統中的暫存器與時脈訊號位置。
步驟402: 偵測耦接於任意兩個暫存器之間的一電路區塊是否為可轉換為一邏輯閘層次的組合電路,若是,進入步驟404;否則進入步驟406。
步驟404: 將該電路區塊轉換為相對應的組合電路。
步驟406: 透過一使用者輸入設定該電路區塊的時序值。
步驟408: 得到一轉換後電路系統。
步驟410: 對該轉換後電路系統進行靜態時序分析。
需注意的是,步驟400係由偵測模塊110所執行,步驟402、404、406由轉換模塊120所執行,而步驟410由分析模塊130所執行。本領域具有通常知識者在閱讀上述段落後應能輕易的理解第4圖所示各步驟的細節,因此詳細說明在此省略以省篇幅。
第5圖係根據本發明一實施例之電子裝置500的示意圖,其中電子裝置500包含有一處理器501以及存有一程式碼PROG的一儲存裝置502,當程式碼PROG被處理器501所載入並執行時,處理器501會執行第4圖所示的流程步驟,由於熟習此領域者於閱讀過以上段落的內容之後應該輕易理解處理器501執行程式碼PROG所進行的操作,故在此省略更進一步的說明以省篇幅。 以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
100‧‧‧模擬裝置
110‧‧‧偵測模塊
120‧‧‧轉換模塊
130‧‧‧分析模塊
101、200‧‧‧電路系統
201-204、301、302、304‧‧‧電路區塊
CK‧‧‧時脈訊號
400-410‧‧‧步驟
500‧‧‧電子裝置
501‧‧‧處理器
502‧‧‧儲存裝置
PROG‧‧‧程式碼
第1圖係根據本發明一實施例之對一混和信號電路系統應用一模擬方法的一模擬裝置示意圖。 第2圖係根據本發明一實施例之一混和信號電路系統的示意圖。 第3圖係根據本發明一實施例之應用模擬方法所得到的一轉換後電路系統的示意圖。 第4圖係根據本發明一實施例之混和信號電路系統模擬方法之流程圖。 第5圖係根據本發明一實施例之電子裝置的示意圖。

Claims (10)

  1. 一種混和信號(mixed-signal)電路系統模擬方法,包含:偵測一電路系統中所包含的多個暫存器的位置以及一時脈訊號,其中該電路系統為一混和信號電路系統;根據所偵測到的該多個暫存器的位置,對耦接於該多個暫存器中的任意兩個相鄰暫存器之間的一電路區塊執行一時序分析轉換操作以得到一轉換後電路系統;以及對該轉換後電路系統執行一靜態時序分析(Static Timing Analysis,STA)操作;其中當該電路區塊可轉換為一組合電路(combinational circuit)區塊時,該時序分析轉換操作包含:將該電路區塊轉換為該組合電路區塊,其中該組合電路區塊為邏輯閘層次(gate level)。
  2. 如申請專利範圍第1項的混和信號電路系統模擬方法,其中當該電路區塊不可轉換為該組合電路區塊時,該時序分析轉換操作包含:透過一使用者輸入設定該電路區塊的一時序值。
  3. 如申請專利範圍第1項的混和信號電路系統模擬方法,其中該電路區塊為一電晶體層次。
  4. 如申請專利範圍第1項的混和信號電路系統模擬方法,其中該組合電路區塊至少包含一緩衝器。
  5. 如申請專利範圍第1項的混和信號電路系統模擬方法,其中該組合電路區塊至少包含一反相器。
  6. 如申請專利範圍第1項的混和信號電路系統模擬方法,其中該組合電路區塊至少包含一負載。
  7. 一種電子裝置,包含有:一處理器;以及一儲存裝置,儲存一程式碼,其中當該處理器載入並執行該程式碼時,會執行以下操作:偵測一電路系統中所包含的多個暫存器的位置以及一時脈訊號,其中該電路系統為一混和信號(Mixed-signal)電路系統;根據所偵測到的該多個暫存器的位置,對耦接於該多個暫存器中的任意兩個相鄰暫存器之間的一電路區塊執行一時序分析轉換操作以得到一轉換後電路系統;以及對該轉換後電路系統執行一靜態時序分析(Static Timing Analysis,STA)操作;其中當該電路區塊可轉換為一組合電路(combinational circuit)區塊時,該時序分析轉換操作包含:將該電路區塊轉換為該組合電路區塊,其中該組合電路區塊為邏輯閘層次(gate level)。
  8. 如申請專利範圍第7項的電子裝置,其中當該電路區塊不可轉換為該組合電路區塊時,該時序分析轉換操作包含:透過一使用者輸入設定該電路區塊的一時序值。
  9. 如申請專利範圍第7項的電子裝置,其中該電路區塊為一電晶體層次。
  10. 如申請專利範圍第7項的電子裝置,其中該組合電路區塊至少包含一緩衝器、一反相器或是一負載。
TW105119416A 2016-06-21 2016-06-21 混和信號電路系統模擬方法及相關電子裝置 TWI634446B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW105119416A TWI634446B (zh) 2016-06-21 2016-06-21 混和信號電路系統模擬方法及相關電子裝置
US15/628,636 US10521529B2 (en) 2016-06-21 2017-06-20 Simulation method for mixed-signal circuit system and related electronic device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW105119416A TWI634446B (zh) 2016-06-21 2016-06-21 混和信號電路系統模擬方法及相關電子裝置

Publications (2)

Publication Number Publication Date
TW201800968A TW201800968A (zh) 2018-01-01
TWI634446B true TWI634446B (zh) 2018-09-01

Family

ID=60660801

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105119416A TWI634446B (zh) 2016-06-21 2016-06-21 混和信號電路系統模擬方法及相關電子裝置

Country Status (2)

Country Link
US (1) US10521529B2 (zh)
TW (1) TWI634446B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW552535B (en) * 2002-03-27 2003-09-11 Via Tech Inc Method of integrated circuit design flow
US20050276135A1 (en) * 2004-06-10 2005-12-15 Matsushita Electric Industrial Co., Ltd. Timing analysis method and apparatus
TWI521220B (zh) * 2014-12-26 2016-02-11 瑞昱半導體股份有限公司 積體電路的時序分析方法及相關的電腦程式產品

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6954921B2 (en) * 2002-03-05 2005-10-11 Barcelona Design, Inc. Method and apparatus for automatic analog/mixed signal system design using geometric programming
US6671862B1 (en) * 2002-08-07 2003-12-30 Hewlett-Packard Development Company, L.P. Method and apparatus for simplifying a circuit model
US7299433B2 (en) * 2003-06-09 2007-11-20 Intel Corporation Timing analysis apparatus, systems, and methods
US7073141B2 (en) * 2003-11-25 2006-07-04 Intel Corporation Device, system and method for VLSI design analysis
US7039883B2 (en) * 2003-12-05 2006-05-02 Freescale Semiconductor, Inc. Derivation of circuit block constraints
US7401310B1 (en) * 2006-04-04 2008-07-15 Advanced Micro Devices, Inc. Integrated circuit design with cell-based macros
TWI301202B (en) * 2006-04-13 2008-09-21 Ind Tech Res Inst A calibration method of a mixed mode simulation
US7941774B2 (en) * 2008-08-04 2011-05-10 Texas Instruments Incorporated Partial timing modeling for gate level simulation
US8201137B1 (en) * 2009-03-06 2012-06-12 Cadence Design Systems, Inc. Method and apparatus for AMS simulation of integrated circuit design
US8255191B1 (en) * 2009-04-30 2012-08-28 Cadence Design Systems, Inc. Using real value models in simulation of analog and mixed-signal systems
US9183332B2 (en) * 2013-04-24 2015-11-10 Bae Systems Information And Electronic Systems Integration Inc. Method for simulation of partial VLSI ASIC design
US9760672B1 (en) * 2014-12-22 2017-09-12 Qualcomm Incorporated Circuitry and method for critical path timing speculation to enable process variation compensation via voltage scaling

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW552535B (en) * 2002-03-27 2003-09-11 Via Tech Inc Method of integrated circuit design flow
US20050276135A1 (en) * 2004-06-10 2005-12-15 Matsushita Electric Industrial Co., Ltd. Timing analysis method and apparatus
TWI521220B (zh) * 2014-12-26 2016-02-11 瑞昱半導體股份有限公司 積體電路的時序分析方法及相關的電腦程式產品

Also Published As

Publication number Publication date
US10521529B2 (en) 2019-12-31
US20170364619A1 (en) 2017-12-21
TW201800968A (zh) 2018-01-01

Similar Documents

Publication Publication Date Title
US20120159274A1 (en) Apparatus to facilitate built-in self-test data collection
US8572527B1 (en) Generating properties for circuit designs
US9311438B1 (en) Signal delay flip-flop cell for fixing hold time violation
US9817433B2 (en) Apparatus and method for achieving glitch-free clock domain crossing signals
US7882467B2 (en) Test pattern evaluation method and test pattern evaluation device
Ye et al. Substantial fault pair at-a-time (SFPAT): An automatic diagnostic pattern generation method
US11216607B2 (en) Double glitch capture mode power integrity analysis
CN107784185B (zh) 一种门级网表中伪路径的提取方法、装置及终端设备
US20160188772A1 (en) Method of designing an integrated circuit and computing system for designing an integrated circuit
TWI634446B (zh) 混和信號電路系統模擬方法及相關電子裝置
US7904286B2 (en) Method and apparatus for scheduling test vectors in a multiple core integrated circuit
US7389488B2 (en) Method of finding driving strength and computer accessible record medium to store program thereof
Fang et al. RT-level deviation-based grading of functional test sequences
US20160110485A1 (en) Simplifying Modes of an Electronic Circuit by Reducing Constraints
CN107562970B (zh) 混合信号电路系统模拟方法及电子装置
Takeuchi et al. A Controller Augmentation Method to Improve Transition Fault Coverage for RTL Data-Paths
US8793545B2 (en) Apparatus and method for clock glitch detection during at-speed testing
Fang et al. RTL DFT techniques to enhance defect coverage for functional test sequences
Gao et al. A new post-silicon debug approach based on suspect window
US8008935B1 (en) Tester and a method for testing an integrated circuit
Tenentes et al. Leakage current analysis for diagnosis of bridge defects in power-gating designs
US20140095951A1 (en) Path-based crosstalk fault test scanning in built-in self-testing
US9569577B2 (en) Identifying noise couplings in integrated circuit
US9405871B1 (en) Determination of path delays in circuit designs
JP6394278B2 (ja) 有限状態機械の設計検証装置、設計検証方法、及び設計検証プログラム