JP4061295B2 - デジタル回路の統計的タイミング解析のためのシステムおよび方法 - Google Patents

デジタル回路の統計的タイミング解析のためのシステムおよび方法 Download PDF

Info

Publication number
JP4061295B2
JP4061295B2 JP2004269187A JP2004269187A JP4061295B2 JP 4061295 B2 JP4061295 B2 JP 4061295B2 JP 2004269187 A JP2004269187 A JP 2004269187A JP 2004269187 A JP2004269187 A JP 2004269187A JP 4061295 B2 JP4061295 B2 JP 4061295B2
Authority
JP
Japan
Prior art keywords
statistical
timing
arrival time
program according
electrical circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004269187A
Other languages
English (en)
Other versions
JP2005092885A (ja
JP2005092885A5 (ja
Inventor
チャンドラモウリ・ビスウェスワリ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005092885A publication Critical patent/JP2005092885A/ja
Publication of JP2005092885A5 publication Critical patent/JP2005092885A5/ja
Application granted granted Critical
Publication of JP4061295B2 publication Critical patent/JP4061295B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Description

本発明は、デジタル集積回路の設計自動化に関する。より詳細には、本発明は、遅延変動(delayvariation)の存在下におけるデジタル回路の静的(static)タイミング解析に関する。さらに詳細には、本発明は、様々な回路素子の遅延間の相関を考慮し、維持する、確率的または統計的方式におけるデジタル回路の到達時間(arrivaltime)および要求到達時間(required arrival time)の伝播に関する。
集積回路技術の世代が改まるごとに、変動性が比例的に増大している。こうした変動性の要因として、製造ばらつき(manufacturing variation)、デバイス疲労、環境変化(environmental variation)、およびフェーズ・ロック・ループ(PLL)変動(phase-lockedloop (PLL) variation)などが挙げられる。製造ばらつきの場合、能動トランジスタ層を形成する前工程(FEOL:front-end-of-line)において、トランジスタの電気的特性に関する変動が生じる。ゲートの長さ、半導体接合(junction)の深さ、酸化物の厚さなどの物理量は、製造工程で完璧に制御することができず、変動を生じ、それがトランジスタ挙動の変動の原因となる。現在の技術では物理的寸法が小さくなるにつれて、変動性が比例的に増大する。さらに、金属相互接続(interconnect)層を形成する後工程(BEOL:back-end-of-line)においても変動性が生じる。例えば、各金属層の厚さ、幅、および層間絶縁膜の厚さは、変動性の要因となる。これらは配線(wire)がその遅延を変化させる原因となり、事実、これらの変動性要因は、配線を駆動するゲートおよび配線によって駆動されるゲートの遅延を変化させることができる。
第2の主要なタイプの変動は、ホット電子(hot electron)や負バイアス温度不安定性(NBTI:negativebias temperature instability)などのデバイス疲労効果に起因する。フィールド(field)で長期にわたって使用され続けると、上記の物理現象によってトランジスタ特性が変化し、それが回路構成要素の遅延の変化の原因となる。
第3の主要なタイプの変動は、温度や電源電圧などの環境の影響に起因する。
第4の主要なタイプの変動は、PLLのジッタ(jitter)およびデューティ・サイクル変動を含み得るPLL変動である。
上記の要因に加えて、モデル−ハードウェア相関誤り(model-to-hardwaremiscorrelation)、シリコン・オン・インシュレータ(SOI)履歴効果(silicon-on-insulator (SOI) historyeffect)、およびカップリング・ノイズ(coupling noise)など、他の変動要因が存在することに留意されたい。デジタル集積回路の統計的タイミング解析中に、こうした他の要因も考慮することができる。
集積回路内のゲートおよび配線によって生じる遅延の変動は、多くの様々な方法で分類することができる。変動は製造中に、バッチ間、ウェハ間、チップ間、またはチップ内で生じ得る。例えば、フォトリソグラフィ工程でのレンズ収差効果(lens aberration effect)は、レチクル領域全体にわたってトランジスタ実効長の変動の原因となり得る。温度および電源電圧の変動が、チップ全体にわたって存在する。変動は、変動性が発現する時間スケールによっても分類することができる。例えば、疲労効果は数年にわたる変動性の原因となるが、チップにおける温度または電源電圧の勾配は、数秒または数ミリ秒の間に発現し得、カップリング・ノイズ変動は、数ナノ秒または数ピコ秒で生じ得る。どちらの種類に分類されるにしても、こうした変動要因が、集積回路の解析および設計をより困難にすること、またタイミング解析において正確に考慮されなければならないことはきわめて明らかである。
こうした変動性に対処する従来のタイミング方法は、異なる「ケース(case)」または「困難度(corner)」で複数の静的タイミング解析を行って、こうした変動の下での回路性能の幅を決定するというものである。困難度には、例えば、「最良の場合」、「基準」、および「最悪の場合」が含まれる。残念ながら、従来の方法は、独立の重要な変動要因の数が多く、非常に多くのタイミング実行が必要になるため、行き詰まりを見せている。これに対処する1つの方法は、いくつかの変動要因に対して最悪の場合を想定するか、または保護帯(guard-band)を設けることであるが、これは性能予測に悲観論を持ち込むことになる。必要なタイミング実行の回数が爆発的に増加するのを抑える別の方法は、ある困難度での解析を省略することであるが、省略された困難度で回路性能が許容できないものとなるかもしれず、それはテスタで試験した際または実際に使用した際にチップが正常に動作しないことによって明らかとなるので、この方法にはリスクが伴う。このような結果から、従来のタイミング方法は、リスクが伴い、悲観的であるのに加え、急速に負担の大きなものになっている。
従来のタイミング方法が直面する問題に対する解決策は、統計的(statistical)または確率的(probabilistic)タイミング解析である。その種の解析では、遅延、到達時間、およびスラック(slack)などのタイミング量は、1つの数としてではなく、確率分布として取り扱われる。したがって、変動の影響下にある回路性能の完全な確率分布が、1回のタイミング実行によって予測される。不要なリスク、多過ぎるタイミング実行、および悲観論といった問題は、可能性としてはすべて回避される。従来技術におけるこうした統計的タイミング方法の4つの例として、リュー(Liou)他[J−J.リュー、K−T.チェン(Cheng)、S.クンドゥ(Kundu)、A.クルスティッチ(Krstic)]、「確率事象伝播による高速統計的タイミング解析(Faststatistical timing analysis by probabilistic event propagation)」、Proc. DesignAutomation Conference(2001年6月、ネバタ州ラスベガス、661〜666ページ)、シェファー(L. Scheffer)、「プロセス変動の関数としての明示的な性能計算(Explicitcomputation of performance as a function of process variation)」、Proc. ACM/IEEEworkshop on timing issues in the specification and synthesis of digital systems(2002年12月、カリフォルニア州モンタレー、1〜8ページ)、ガッティカー(Gattiker)他[A.ガッティカー、A.ナシフ(Nassif)、R.ディナカル(Dinakar)、C.ロング(Long)]、「静的タイミング解析によるタイミング歩留まり評価(Timingyield estimation from static timing analysis)」、Proc. IEEE InternationalSymposium on Quality Electronic Design (ISQED)(2001年、437〜442ページ)、ジェス(Jess)他[J.A.G.ジェス、K.カラファラ(Kalafala)、S.R.ナイドゥ(Naidu)、R.H.J.M.オッテン(Otten)、C.ヴィスウェスワライア(Visweswariah)]、「デジタル集積回路のパラメトリック歩留まり予測のための統計的タイミング(Statisticaltiming for parametric yield prediction of digital integrated circuits)」、Proc.Design Automation Conference(2003年6月、カリフォルニア州アナハイム、932〜937ページ)を挙げることができる。
米国出願第10/666470号 米国出願第10/666052号 米国出願第10/184329号 J−J.リュー(Liou)、K−T.チェン(Cheng)、S.クンドゥ(Kundu)、A.クルスティッチ(Krstic)、「確率事象伝播による高速統計的タイミング解析(Faststatistical timing analysis by probabilistic event propagation)」、Proc. DesignAutomation Conference、2001年6月、ネバタ州ラスベガス、661〜666ページ シェファー(L. Scheffer)、「プロセス変動の関数としての明示的な性能計算(Explicitcomputation of performance as a function of process variation)」、Proc. ACM/IEEEworkshop on timing issues in the specification and synthesis of digital systems、2002年12月、カリフォルニア州モンタレー、1〜8ページ A.ガッティカー(Gattiker)、A.ナシフ(Nassif)、R.ディナカル(Dinakar)、C.ロング(Long)、「静的タイミング解析によるタイミング歩留まり評価(Timingyield estimation from static timing analysis)」、Proc. IEEE InternationalSymposium on Quality Electronic Design (ISQED)、2001年、437〜442ページ J.A.G.ジェス(Jess)、K.カラファラ(Kalafala)、S.R.ナイドゥ(Naidu)、R.H.J.M.オッテン(Otten)、C.ヴィスウェスワライア(Visweswariah)、「デジタル集積回路のパラメトリック歩留まり予測のための統計的タイミング(Statisticaltiming for parametric yield prediction of digital integrated circuits)」、Proc.Design Automation Conference、2003年6月、カリフォルニア州アナハイム、932〜937ページ
従来技術の方法が実用に適さないのには、いくつかの理由が存在する。従来技術の方法の主な短所は、相関(correlation)を正確に考慮できないことである。集積回路上のゲートおよび配線の遅延は、強い相関を示す。相関の重要性を例示するため、簡単な例について考察する。5万個のラッチを有するチップでは、5万回のセットアップ・タイミング・テストと5万回のホールド・タイミング・テストが行われる。これらのテストの各々が成功する確率が99.99%であると仮定する。10万の確率が完全に相関していれば、チップが正常に動作する全体的確率は99.99%である。すなわち、1つのテストに合格すれば、残りのテストにも合格するであろう。しかし、確率が独立である場合、正常に動作するチップが作成される確率は、0.9999の10万乗であり、これは僅か0.005%の歩留まり(yield)でしかない。
遅延相関(delay correlation)には数多くの要因が存在するが、そのいくつかの例を以下で説明する。2つのパスはいくつかの同じゲートを共有することがあり、この場合、2つのパスの遅延には相関がある。何らかの製造ばらつきが原因で、ある特定のチップがより強力なP型トランジスタを有する場合、おそらくそのチップ上の各P型トランジスタがすべてより強力となるであろう。第3レベル(層)の金属が僅かに厚い場合、全チップにわたってより厚いことが多い。ランチング・パス(launchingpath)(データ信号をラッチに送るパス)とキャプチャリング・パス(capturing path)(対応するクロック信号を同じラッチに送るパス)は、何らかの共通の特徴を示すことがあり、したがって、相関する遅延を有することがある。共通の特徴として、パス上のいくつかのゲートの共有、金属層の共有、電源電圧アイランドの共有、同じタイプのゲートの共有などを挙げることができる。ゲートの遅延は、チップ表面のゲートの物理位置によっても相関させることができる。例えば、互いに近くにある2つのゲートは、相対的なチャネル長変動が大きい可能性は低く、したがって、それらの遅延には緊密な相関があることが多い。
こうしたばらつきの要因はすべて正確に考慮されなければならず、さもないと、結果は意味のあるものとはならないであろう。リュー他の方法では、個々のゲートの遅延は他のゲートとは完全に独立であると見なすため、実用的に使用できる解析とはならない。ガッティカー他の方法では、ゲート遅延相関が考慮される。クリティカル・パスが列挙されるが、これらのパスの効果を結合する際、各パスの遅延は他のものと独立であると見なされ、その結果、いくつかの重要な相関要因が無視される。
従来技術の方法の中で、相関を考慮しているものは、ジェス他の方法である。この方法は、パス・ベースの方法である。各パスの遅延またはスラックが集められ、変動要因の1次モデルとして表現される。次に回路またはチップ全体のスラックが、これらのパスのスラックを相関確率的方式で結合することによって計算される。残念ながら、どの回路にも指数関数的に増加する膨大な数のパスが存在する。回路中のパスをすべて列挙して、解析するのは現実的ではない。ジェス他は、上位N個のクリティカル・パスについて考察することを提案しているが、もちろん、第(N+1)番目のパス(または上位N個のパス以外のいずれかのパス)が、プロセス空間(process space)または変動空間の何らかの点または困難度でクリティカルではない保証はない。全パス・ベースの方法には、パス数があまりにも膨大であるという根本的な限界があり、何らかの発見的(heuristic)手法を用いて、詳細な解析の対象とするパスの数を制限しなければならない。
集積回路の遅延変動性には、多くの重要な相関要因が存在するが、さらにいくつかの完全にランダムな変動要因も存在する。例えば、現在の技術では、トランジスタ中の酸化物の厚さは僅か数原子の厚さであるが、様々な理由から、トランジスタ中の原子層が1つ増えたり減ったりすることがあり、トランジスタ毎でまったくランダムな変動の原因となる。リュー他の従来技術の方法では、そのようなランダムな変動に対処することができるが、ガッティカー他やジェス他の方法など他の従来技術の方法では、対処することができない。
さらに、上述の従来技術の方法はすべて、また別の問題も有している。静的タイミング・プログラムからの重要な出力は診断(diagnostics)であり、設計者あるいは自動合成または最適化プログラムは、その診断に基づき回路を改良することができる。ここでも、従来技術の方法には不十分な点がある。例えば、あるクリティカル・パスが特定の変動要因に対して過大な感度(sensitivity)を有する場合、回路は変動があると堅牢(robust)ではなくなる。そのような診断が出された場合、設計者あるいは合成プログラムは、その過大な感度を小さくするために様々な手段を講じることができるであろう。そのため、統計的タイミング・プログラムの出力を、変動要因によってパラメータ化することが重要である。回路のあるノード(node)での到達時間が許容できないほど遅い確率分布を有することを報告する代りに、適切な対策を施せるように、その遅い到達時間の様々な変動要因に対する感度を報告すれば有益であろう。従来技術の方法は、確率分布として統計的タイミング結果を生成する。残念ながら、こうした方法では、回路性能または堅牢性を改良する際に、人間の設計者または自動最適化プログラムの役に立たない。
上記およびその他の理由のため、文献中で提案された統計的タイミング方法は、工業的実施においては使用されていない。
本発明の一態様は、デジタル回路の統計的または確率的な静的タイミング(statisticalor probabilistic static timing)のための改良システムおよび方法である。
本発明の別の態様は、タイミング・グラフのサイズおよび変動要因の数に関して線形複雑度(linearcomplexity)を有する、統計的タイミングのための方法である。
本発明の別の態様は、個々のゲートおよび配線の遅延間の相関、ならびに回路のパスの遅延間の相関を考慮する、統計的タイミングのための方法である。
本発明の別の態様は、確定(deterministic)部分、相関ランダム部分、および独立ランダム部分を含む遅延モデルを可能とする、統計的タイミングのための方法である。
本発明の別の態様は、デジタル回路のタイミング・グラフの各ノードの到達時間、要求到達時間(required arrival time)、スリュー(slew)、およびスラック(slack)を確率分布として表す、タイミング結果である。
本発明の別の態様は、デジタル回路のタイミング・グラフの各ノードの到達時間、要求到達時間、スリュー、およびスラックを、変動要因によってパラメータ化した形式で表す、タイミング結果である。
本発明は、統計的な遅延変動を考慮に入れた、デジタル回路の統計的または確率的な静的タイミング解析のためのシステムおよび方法である。各ゲートまたは配線の遅延は、基準部分、変動要因によってパラメータ化される相関ランダム部分、および独立ランダム部分から構成される。到達時間および要求到達時間は、相関を考慮しながら、パラメータ化された確率変数として伝播させる。早モード(early mode)(以下「早モード」という。)のタイミングと遅モード(late mode)(以下「遅モード」という。)のタイミングが含まれ、組合せ(combinational)回路と順序(sequential)回路が扱われ、ダイナミック論理回路ファミリに加えて、スタティックCMOS論理回路にも対応する。タイミング解析の複雑度は、タイミング・グラフのサイズおよび変動要因の数に関して線形となる。結果は、到達時間やスラックなどのすべてのタイミング量がパラメータ化された形式の確率分布として報告される、タイミング・レポートとして提供される。
上記およびその他の目的、態様、および利点は、以下に記載の本発明の好ましい実施形態の詳細な説明を添付の図面を参照しながら読むことで、より良く理解されるであろう。
本発明の統計的または確率的な静的タイミング・フローが、図1に示されている。第1の入力は、ボックス100に示す、解析する回路の構造を表すネットリストである。第2の入力は、ボックス110の1組のタイミング・アサーション(timing assertion)である。タイミング・アサーションには一般に、プライマリ入力での到達時間、プライマリ出力での要求到達時間、クロックの位相についての情報、およびプライマリ出力によって駆動(drive)される外部負荷についての詳細が含まれる。アサーションは、確定的な数、独立確率分布、または相関確率分布の形式をとることができる。第3の入力は、ボックス120の1組のパラメータ化した遅延モデルである。これらの遅延モデルは、タイマが、ゲートまたは配線の遅延を、(入力スリュー時間または立ち上がり/立ち下がり時間、および出力負荷などの)従来の遅延モデル変数の関数としてばかりでなく、変動要因の関数としても決定できるようにする。例えば、以下に示すような、1次線形モデルを利用することができる。
Figure 0004061295
遅延は、確定的な(定数の)部分aと、相関(または大域的な)部分
Figure 0004061295
と、独立(または局所的な)部分an+1ΔRとから構成される。変動要因の数はnであり、a、i=1,...,nは、変動要因x、i=1,...,nに対する遅延の感度係数、an+1は独立のランダムな変動要因Rに対する感度係数である。表記Δxは、xの平均値または基準値からのxの偏差を表し、表記ΔRは、Rの平均(mean)値または基準(nominal)値からのRの偏差(deviation)を表す。遅延モデルは、事前の特性決定ステップで保存(store)できること、または必要に応じてオンザフライ(onthe fly;進行中に)で計算できることを理解されたい。遅延モデルを保存する形式として、解析的遅延式、またはテーブル・モデルを挙げることができる。次の入力は、ボックス130の変動要因に関する統計についての情報である。この入力は一般に、各変動要因の平均値と標準偏差を含んだ変動要因リストを有する。変動要因の間の相関はどれもここで指定される。
回路ネットリスト100、アサーション110、パラメータ化遅延モデル120、変動要因に関する統計130、およびこれらの機能的等価物については、当技術分野において周知である。
ボックス140の新規な確率的または統計的な静的タイミング・プログラムが、これらの入力をすべて受け取り、ボックス150の新規な統計的なタイミング・レポートを生成する。このレポートは一般に、回路の各ノードでの到達時間、要求到達時間、スラック、およびスリューを含む。これらのタイミング量は、1つの数ではなく、むしろ、確率分布となる。タイミング・レポート内の情報は、多くの形式をとることができ、1つまたは複数の、各タイミング量の平均値および分散、各タイミング量の分布のパラメータ化表現、各タイミング量の分布のグラフ表現、およびこれら様々なタイミング量間の相関レポートが含まれる。大き過ぎる感度のチェックなど、様々な自動検査を、タイミング・レポートに組み込むことができる。回路の堅牢性を改善するには、大き過ぎる感度を小さくしなければならないので、大き過ぎる感度のチェックは重要である。タイミングをとる回路は、非常に大規模になり得ること、数100万のゲートおよび配線から構成され得ることを理解されたい。上述した情報の総量はきわめて大量になる場合があるので、必要な情報を選択的に出力するための選択肢を提供することが通常は行われ、あるいはこれらの情報をすべて要求時に計算し、グラフ表示することも通常に行われる。
ボックス140の新規な統計的タイマの詳細が、図2のフローチャート200に示されている。第1のステップは、タイミングをとる回路のトポロジ(形状)の詳細を含むネットリストを読み取ることである。このネットリストは階層形式をとることがしばしばあるので、平坦化される(すなわち、階層のレベル数が減らされる)。アサーション(その各々は確定的または確率的とすることができる)が読み取られ、パラメータ化遅延モデルも読み取られる。平均値、標準偏差値、変動要因間の相関など、変動要因についての情報は、ボックス210でメモリに格納(store)される。
ボックス220に示す次の主要ステップは、すべての静的タイミング・プログラムで馴染みの深いステップである、タイミング・グラフの作成である。グラフでは、各ノードは、回路のノードまたは信号を表し、各弧(arc)またはエッジは、論理遷移(ローからハイ、またはハイからロー)がゲートまたは配線などの回路構成要素中を伝達されるときに生じる回路内の遅延を表す。したがって、回路のすべての可能な有効論理遷移は、このグラフ内に取り込まれる。到達時間は一般に、グラフのノードに格納され、個々のゲートまたは配線の遅延は、グラフのエッジに格納される。遅モードの場合の到達時間は、対応する信号が(電気回路の可能なパスのいずれかを通過して)正しい論理値で安定することが保証される最も早い時間であり、早モードの場合の到達時間は、対応する信号が直前のサイクルの安定論理値から変化することのできる最も早い時間である(すなわち、出力は早モードの到達時間より早く変化することはできない)。グラフ内の順序素子およびダイナミック回路は、テスト・セグメントと呼ばれる特別な種類のエッジで表され、これによって、回路の正確なタイミング動作を保証するにはグラフの2つのノード間でタイミング・テストを実行しなければならないことが、タイミング・プログラムに通知(indication)される。ゲート・レベルのネットリストとトランジスタ・レベルのネットリストの両方についてこのようなグラフが作成されることは、当技術分野において周知である。
次のステップは、ボックス230に示す、タイミング・グラフ中の到達時間についての本発明の統計的相関前方伝播(correlated statistical forward propagation)である。次にボックス240で、要求到達時間について本発明の統計的相関後方伝播(correlatedstatistical backward propagation)が実行される。最後にボックス250で、本発明のタイミング・レポートが作成される。早モードおよび遅モード解析の際にボックス230および240で必要となる計算の詳細については、以下の段落で説明する。
静的タイミング解析における4つの基本演算は、「加算(plus)」、「減算(minus)」、「最大(max)」、および「最小(min)」である。統計的タイミング解析を成功させるには、これら4つの基本演算を確率的等価物(probabilityequivalent)によって置き換えなければならない。確率的等価物は相関を正しく考慮し、伝播しなければならず、このことは非常に重要である。図3に示す簡単な状況について考察する。この図では、ノードa(310)およびノードb(320)からのタイミング・グラフの2本の有向エッジ(340、350)が、共通タイミング・ノードc(330)で出会っている。dacと名付けるエッジは、ノードaからノードcでの遅延を表し、dbcはノードbからノードcでの遅延を表す。aおよびbにおける早モードの到達時間および遅モードの到達時間は、以下の形をとることが知られているものと仮定する。
Figure 0004061295
また、遅延は以下の形をとることが知られているものと仮定する。
Figure 0004061295
ノードcで、
Figure 0004061295
および
Figure 0004061295
を決定する必要があり、これらは、cが現在のクロック・サイクルで安定することが保証される最も早い時間と、cが直前のサイクルの安定論理値から変化することのできる最も早い時間をそれぞれ表す。以下では遅モードの計算について説明するが、早モードの計算も同様の方式で実行される。したがって、
Figure 0004061295
となる。変動要因(大域的変数xと局所的変数R)が、ガウス分布または正規分布に従う場合、最大値を見出そうとしている上記の2つの量もガウス分布に従う。したがって、
Figure 0004061295
と書き表すことができる。pおよびpは、平均および分散を有するガウス確率変数(random variable)であり、上記のようになるものと仮定される。これら2つの確率変数は独立ではなく、2つの確率変数は相関している。しかし、変動要因xは、独立であっても相関していてもよい。これらの場合の各々について以下で説明する。
変動要因が互いに独立であり、またゼロ平均(zero mean)および単位分散(unitvariance)と独立であると仮定すると、共分散行列(covariance matrix)を
Figure 0004061295
と書き表すことができるが、変動要因が独立ではないとすると、共分散行列は
Figure 0004061295
となる。Vはユーザによって与えられた変動要因の共分散行列であるが、見やすくするため、この式では上付き文字lateを省略してある(変動要因が独立の場合は、Vが対角行列(diagonal matrix)であったことに留意されたい)。いずれの場合も、
Figure 0004061295
と対照することによって、σ、σ、相関係数ρを導き出すことができる。変動要因が独立である場合、最大値を決定しようとしている2つのガウス分布の対応する係数の平方および積の和を集めることによって、これを達成することができる。到達時間と遅延のランダム成分は、2×2型の共分散行列の対角項(diagonal term)に寄与しており、最大値を決定しようとしている2つの量の分散を大きくするが、非対角項(off-diagonalterm)には寄与しておらず、それにより相関係数を小さくすることに留意されたい。
次のステップは、max[p,p]を計算することであり、J.A.G.ジェス、C.ヴィスウェスワライア、「集積回路の統計的モデリングおよび統計的タイミング解析のためのシステムおよび方法(System and Method For Statistical Modeling And Statistical TimingAnalysis Of Integrated Circuits)」、米国出願第10/184329号、およびジェス他[J.A.G.ジェス、K.カラファラ、S.R.ナイドゥ、R.H.J.M.オッテン、C.ヴィスウェスワライア]、「デジタル集積回路のパラメトリック歩留まり予測のための統計的タイミング」、Proc.Design Automation Conference、2003年6月、カリフォルニア州アナハイム、932〜937ページ、で教示されているような従来技術の方法によって行うことができる。手短に言うと、これを実行する好ましい方法は、適切な変数の範囲(例えば、max(μ−3σ,μ−3σ)からmax(μ+3σ,μ+3σ)まで)にわたって変数ηをスイープ(sweep)することである。ηの各値で、確率p=ηにp<ηの条件付き確率を乗じ、pがpより大きい場合の確率を得る。同様に、同じηの値で、確率p=ηにp<ηの条件付き確率を乗じ、pがpより大きい場合の確率を得る。これを行うことによって、確率分布AT lateが得られる。pより大きい個々の確率p、およびpより大きい個々の確率pが、ηのすべての値にわたって集められる。上記の参考文献では、それらの確率を「バインディング確率(bindingprobability)」と呼ぶが、本明細書では、「到達タイトネス確率(ATP:arrival tightness probability)」と呼ぶ。その理由は、それらの確率が、cでの到達時間が図3の上側エッジおよび下側エッジによってそれぞれ決定される確率となるからである。このような到達タイトネス確率を、Tおよび(1−T)でそれぞれ示すことにする。ジェス他の方法も同様の技法を用いるが、純粋にランダムな遅延成分を考慮していないこと、またジェス他の方法はパス・ベースで適用されるが、本明細書の方法はタイミング・グラフの各ノードで適用されることに留意されたい。
タイミング・グラフ内をさらに先に進む前の最後のステップは、cでの遅モードの到達時間(latearrival time)をタイミング・グラフ内で下流に伝播させることのできる形式に表現し直すことである。その方法は次のようになる。cでの到達時間は、到達時間のT%については図3の上側エッジによって決定されるので、cでの到達時間の大域的な変動要因に対する依存は、重み係数をTとして、上側エッジから導き出される。同様に、cでの到達時間の大域的な変動要因に対する依存は、重み係数を(1−T)として、下側エッジから導き出される。数学的に表現すると、
Figure 0004061295
となる。あと残っているのは、c lateとcn+1 lateを決定することだけだが、これは、確率分布AT lateから容易に決定することができる。分布の平均をc lateに割り当て、ランダム部分cn+1 lateを、分布の分散が表現し直した到達時間の分散に一致するような値に割り当てる。
cでの到達時間が「標準形式」で表現されたので、それを下流に伝播させることができる。早モードの計算では、最大値の代りに2つの分布の最小値の計算が必要となるに過ぎないことを理解されたい。条件付き確率は少しだけ異なる方法で計算されるが、残りの手順は同じである。例えば、ηをスイープする際、確率p=ηにp>ηの条件付き確率を乗じて、pがpより小さくなる確率を得る。上記の方法を拡張して、様々な関数形式の遅延式および様々なタイプの確率分布に適合させることが当業者にはできることを理解されたい。必要なのは、タイトネス確率Tおよび(1−T)を計算し、下流に伝播させるため、cでの到達時間を「標準形式」に表現し直すことだけである。
3本以上のエッジが1つのタイミング・ノードに集まる場合、max演算(maximization)またはmin演算(minimization)は、一度に2本のエッジ毎に行われる。計算の進展につれて到達タイトネス確率が保存(ストア)され、最後のタイトネス確率が、次の処理ステップで割り当てられる。例えば、1つのタイミング・ポイントに3本のエッジが集まると仮定する。最初の2本のエッジのタイトネス確率が、それぞれ60%と40%となることに決まったと仮定する。次に、これら2つの分布の最大値と第3のエッジによって表されるパス遅延とでmax演算が行われる。この計算から得られたタイトネス確率は、最初のエッジ対については80%、第3のエッジについては20%であると仮定する。この場合、3本のエッジの最終的な到達タイトネス確率は、それぞれ48%(0.6×0.8)、32%(0.4×0.8)、20%となる。
早モードの到達時間も、類似の方式でタイミング・グラフ中を前方に伝播することを理解されたい。唯一の相違は、早モード遅延が考察され、「max」演算の代りに「min」演算が用いられることである。
このようにして、早モードの到達時間と遅モードの到達時間の両方が、すべてのエンド・ポイント(end point)の到達時間が計算されるまで、レベル付けされたタイミング・グラフ中を前方に伝播する。エンド・ポイントは、プライマリ出力またはテスト・セグメントのいずれかである。プライマリ出力では、プライマリ出力の遅モードのスラックと早モードのスラックをそれぞれ決定するため、遅モードでは、確率的到達時間がアサーションで指定された(asserted)要求到達時間から減算され、早モードでは、減算項と被減算項を入れ替えた減算が行われる。零スラックは、タイミング要件が正確に満たされていることを意味する。正値スラックは、要件を満たした上でさらにタイミングにゆとりがあることを意味する。負値スラックは、回路が正しく機能しないことを意味する。
同様に、テスト・セグメントでは、スラックを決定するため、到達時間が互いに比較される。例えば、ラッチにおいて、遅モードのデータ到達時間は一般に、ラッチのセットアップ(またはガード)タイムに加えられ、データが正しくラッチされることを保証するため、早モードのクロック到達時間と比較される。異なるタイプのラッチおよび異なるタイプのダイナミック回路の場合、これらの比較は異なる形式をとるが、そのすべては同じ概念を素直に拡張したものである。
前方伝播が完了した後、次のステップは、要求到達時間の統計的相関後方伝播である(図2のボックス240)。要求到達時間は、各エンド・ポイントから開始して、従来の静的タイミング解析でのようにレベル付けされた方式で後方に伝播する。しかし、本発明の方法では、これらの要求到達時間は本質的に統計的である。図2のボックス240に関連する操作は、図2のボックス230と同じように行われるが、いくつかの重要な相違が存在する。第1の相違は、後方伝播では、遅延は到達時間に加算されるのではなく、要求到達時間から減算される。第2の相違は、後方伝播では、遅モード解析は「min」演算を必要とし、早モード解析は「max」演算を必要とする。第3の相違は、タイミング・グラフのエッジの要求到達タイトネス確率は、エッジの起点(source)ノードの要求到達時間がそのエッジによって決定される確率として定義される。これらのタイトネス確率は、ボックス220の前方伝播における到達タイトネス確率とほぼ同じように決定され、さらなる伝播の前に要求到達時間を標準方式で表現するのにほぼ同じように適用される。したがって、後方伝播は、上記のいくつかの重要な相違を除けば、前方伝播とまったく同じに行われる。
図4を参照しながら、後方伝播について説明する。後方伝播の基本目標は、タイミング・グラフのすべてのノードの要求到達時間を決定することである。ノードb(420)およびノードc(430)にそれぞれ達する2つのファンアウト・エッジ(440、450)を有する、図4のノードa(410)での要求到達時間を計算するものと仮定する。その場合、遅モードにおけるノードaでの要求到達時間は、
Figure 0004061295
のように書き表すことができ、早モードにおけるノードaでの要求到達時間は、
Figure 0004061295
のように書き表すことができる。エッジの遅延を減算し、次にmax演算またはmin演算を行う実際の処理は、前方伝播において実行した操作と類似している。同様に、要求到達タイトネス確率も、前方伝播における対応する計算と正確に類似した方式で決定される。
前方伝播および後方伝播が完了すると、遅モードの到達時間、早モードの到達時間、要求到達時間、およびスラックが、タイミング・グラフのすべての場所で入手可能となり、これらを多くの異なる形式でユーザに報告することができる(図2のボックス250)。異なる形式には、グラフ図表、文字による表、要求時クエリ、不合格タイミング・テストの検査、すべてのタイミング・ポイントまたはすべてのエンド・ポイントでの過大な感度の検査、その他がある。
図5のボックス510に、タイミング・レポートが示されている。タイミング・レポートは、プログラミング・インターフェースまたはハードディスク・ファイルのどちらかによってユーザに伝えられる。タイミング・レポートは一般に、何らかの回路情報(ボックス520)と対応する統計的タイミング情報(ボックス530)から構成される。回路情報は、ゲート、構成要素、および配線の一覧、またはパスの一覧、またはノードの一覧、または順序素子の一覧、またはエンド・ポイント(プライマリ出力およびタイミング・テスト)の一覧、またはクロック位相の一覧を含むことができる。これらの項目は、レポートの読者にとってレポートが直観的かつ生産的なものになるように、様々な方法で分類し、取捨選択(フィルタリング)することができる。対応する統計的タイミング情報は、ノードの場合、1つまたは複数のノードの統計的到達時間、統計的要求到達時間、統計的スリュー、および統計的スラックを含むことができる。タイミング・テストまたはプライマリ出力の場合、対応する統計的タイミング情報は、タイミング・テストが合格する確率、またはプライマリ出力がその要求到達時間を満たす確率をそれぞれ含むことができる。パスの場合、対応する統計的タイミング情報は、そのエンド・ポイントの統計的パス・スラック、統計的到達時間、要求到達時間、スリュー、およびスラックを含むことができる。さらに、レポート中の各統計的タイミング量は、平均値と標準偏差、平均値と独立ランダム部分と相関部分、タイミング量分布のグラフ表示、個々の大域的な変動要因に対する感度係数を含む様々な形式で表すことができる。さらに、任意の2つの統計的タイミング量が与えられた場合、レポートは、2つの量の相関係数、2つの量の共分散行列、および一方が他方より大きくまたは小さくなる確率を含むことができる。上記の説明における各タイミング量は、早モードまたは遅モード・タイミング量の一方、立ち上がりまたは立ち下がりタイミング量の一方、特定クロックの特定の位相に特有なタイミング量とすることができることを理解されたい。統計的タイミング解析が完了した後、これらの結果を様々な有用なやり方で報告できることも理解されたい。
本発明の詳細な説明がタイミング・グラフの簡単な断片に基づいてなされたことは理解されよう。当業者であれば、これらの概念を以下の場合に適合するように、すなわち、立ち上がりおよび立ち下がりの到達時間および遅延、順序回路、エッジ・トリガ・ラッチ、マスタ・スレーブ・ラッチ、レベル・センシティブ・ラッチ、および透過(transparent)ラッチを有する(順序)回路、スリュー(立ち上がり/立ち下がり時間)伝播および効果に対処するための拡張、純粋にランダムな確率的遅延モデル、相関のある確率的遅延モデル、ランダムな変動要因、相関のある変動要因、ラッチのセットアップおよびホールド・テストに確率的ガード・タイムを有する回路、ダイナミック回路のタイミング・テストに確率的ガード・タイムを有する回路、複数のクロック位相を有する回路、クロック・サイクル周期およびタイミング・アサーションが確率的である場合、トランジスタ・レベルのネットリスト、およびパラメータ化遅延モデルが回路シミュレータによってオンザフライで計算される場合に適合するように拡張することができるであろう。
これまで本発明を開示してきたが、確率的または統計的な静的タイミング処理(図1のボックス140)が受け取る入力は、キーボードまたはマウス入力、ディスク、テープ、CD−ROM、ネットワーク接続、光ファイバ接続、無線周波数リンク、赤外線リンクなどを含む、ただし、これらに限定されない、コンピュータ・システムに関して一般に周知の任意の入力とすることができることは、当業者には明らかであろう。さらに、パラメータ化タイミング・レポート(図1のボックス150)を含む出力は、任意の周知のコンピュータ出力の形式をとることができる。これらの出力は、プリンタからのプリント出力、グラフィカル・ユーザ・インターフェース(GUI)またはCRT上の画像、記憶媒体(例えば、メモリ、CD−ROM、ディスク、ディスケット)上のコンテンツ、ファイル、ネットワーク(光ファイバ、電話、ケーブル、無線周波、赤外線など)を介して送信される情報を含むが、これらに限定されることはない。
デジタル回路の統計的または確率的な静的タイミングを示す、本発明の好ましい一実施形態のブロック図である。 デジタル回路の統計的または確率的な静的タイミングを実行する好ましい方法のフローチャートである。 統計的タイミング解析に関連する基本的な前方伝播操作を説明するために、タイミング・グラフの2本のエッジおよび3個のノードを示した図である。 統計的タイミング解析に関連する基本的な後方伝播操作を説明するために、タイミング・グラフの2本のエッジおよび3個のノードを示した図である。 出力レポートの好ましい一実施形態のブロック図である。
符号の説明
310 ノードa
320 ノードb
330 ノードc
340 エッジdac
350 エッジdbc
410 ノードa
420 ノードb
430 ノードc
440 エッジdab
450 エッジdac

Claims (15)

  1. 電気回路のタイミングを解析するためのプログラムであって、
    コンピュータに、
    a)入力される、前記電気回路のネットリスト、1つまたは複数のアサーション、1つまたは複数のパラメータ化された遅延モデル、および1つまたは複数の変動要因についての情報読み取り、メモリに格納するステップと、
    b)前記メモリに格納された前記情報を用いて、1つまたは複数のノードおよび1つまたは複数のエッジを有し、前記電気回路を表現するタイミング・グラフを作成するステップと、
    c)統計的到達時間の前方伝播について前記タイミング・グラフをレベル付けするステップと、
    d)前記タイミング・グラフの各レベルで、前記ノードの各々での1つまたは複数の統計的到達時間を、前記統計的到達時間の各々が1つまたは複数の前記変動要因の確率分布による確率変数および前記エッジについての重み係数を用いて計算された形式に表現されるように、伝播させるステップと、
    実行させるための前記プログラム
  2. 前記統計的到達時間が、前記電気回路の各ノードについての到達時間によって決定される、請求項1に記載のプログラム
  3. 前記電気回路の1つまたは複数のノードの統計的スリューが、1つまたは複数の前記変動要因の確率分布による確率変数および前記エッジについての重み係数を用いて計算された形式で決定され、出力される、請求項1に記載のプログラム
  4. モードの統計的タイミング解析が実行される、請求項1に記載のプログラム
  5. モードの統計的タイミング解析が実行される、請求項1に記載のプログラム
  6. 別個の立ち上がりおよび立ち下がりの統計的遅延が、前記電気回路の各構成要素に供給され、前記電気回路の1つまたは複数のノードについて、別個の立ち上がりおよび立ち下がりの統計的到達時間が決定される、請求項1に記載のプログラム
  7. 前記電気回路の1つまたは複数のノードで、1つまたは複数の別個の立ち上がりおよび立ち下がりの統計的要求到達時間、別個の立ち上がりおよび立ち下がりの統計的スラック、および別個の立ち上がりおよび立ち下がりの統計的スリューが決定される、請求項6に記載のプログラム
  8. 前記電気回路の各構成要素についての前記パラメータ化された遅延モデルが、確定的部分、相関部分、および独立なランダム部分のうちの1つまたは複数の部分を含む、請求項1に記載のプログラム
  9. 前記変動要因が相関している、請求項8に記載のプログラム
  10. 前記変動要因が独立である、請求項8に記載のプログラム
  11. 前記パラメータ化された遅延モデルが、回路シミュレータによってオンザフライに決定される、請求項1に記載のプログラム
  12. 各アサーションが、確定的か統計的かのいずれかである、請求項1に記載のプログラム
  13. a)統計的要求到達時間の後方伝播について前記タイミング・グラフをレベル付けするステップと、
    b)前記タイミング・グラフの各レベルで、前記ノードの各々での1つまたは複数の統計的要求到達時間を、前記統計的要求到達時間の各々が1つまたは複数の前記変動要因の確率分布による確率変数および前記エッジについての重み係数を用いて計算された形式に表現されるように、伝播させるステップと、
    をさらに含む、請求項1に記載のプログラム
  14. 前記統計的要求到達時間が、前記電気回路の各ノードについての到達時間によって決定される、請求項13に記載のプログラム
  15. 前記電気回路の1つまたは複数のノードの統計的スラックが、1つまたは複数の前記変動要因の確率分布による確率変数および前記エッジについての重み係数を用いて計算された形式で決定され、出力される、請求項13に記載のプログラム
JP2004269187A 2003-09-19 2004-09-16 デジタル回路の統計的タイミング解析のためのシステムおよび方法 Expired - Fee Related JP4061295B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/666,353 US7428716B2 (en) 2003-09-19 2003-09-19 System and method for statistical timing analysis of digital circuits

Publications (3)

Publication Number Publication Date
JP2005092885A JP2005092885A (ja) 2005-04-07
JP2005092885A5 JP2005092885A5 (ja) 2007-03-29
JP4061295B2 true JP4061295B2 (ja) 2008-03-12

Family

ID=34313087

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004269187A Expired - Fee Related JP4061295B2 (ja) 2003-09-19 2004-09-16 デジタル回路の統計的タイミング解析のためのシステムおよび方法

Country Status (4)

Country Link
US (2) US7428716B2 (ja)
JP (1) JP4061295B2 (ja)
CN (1) CN100350414C (ja)
TW (1) TWI311717B (ja)

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7137090B1 (en) * 2003-08-08 2006-11-14 Xilinx, Inc. Path slack phase adjustment
US7484193B2 (en) * 2003-08-28 2009-01-27 Sun Microsystems, Inc. Method and software for predicting the timing delay of a circuit path using two different timing models
US7428716B2 (en) * 2003-09-19 2008-09-23 International Business Machines Corporation System and method for statistical timing analysis of digital circuits
US7353359B2 (en) * 2003-10-28 2008-04-01 International Business Machines Corporation Affinity-based clustering of vectors for partitioning the columns of a matrix
US7000214B2 (en) * 2003-11-19 2006-02-14 International Business Machines Corporation Method for designing an integrated circuit having multiple voltage domains
US7739098B2 (en) * 2004-02-04 2010-06-15 Synopsys, Inc. System and method for providing distributed static timing analysis with merged results
KR100685640B1 (ko) * 2004-03-17 2007-02-22 주식회사 하이닉스반도체 리프레쉬 오실레이터
US7191419B2 (en) * 2004-07-22 2007-03-13 International Business Machines Corporation Method of timing model abstraction for circuits containing simultaneously switching internal signals
US7213223B2 (en) * 2004-11-19 2007-05-01 Lsi Logic Corporation Method of estimating a total path delay in an integrated circuit design with stochastically weighted conservatism
US7299435B2 (en) * 2005-01-18 2007-11-20 Lsi Corporation Frequency dependent timing margin
JP2006268479A (ja) * 2005-03-24 2006-10-05 Fujitsu Ltd 設計支援装置、設計支援方法、設計支援プログラム、および記録媒体
US7484194B2 (en) * 2005-07-18 2009-01-27 Synopsys, Inc. Automation method and system for assessing timing based on Gaussian slack
US20070226572A1 (en) * 2005-11-07 2007-09-27 Ming Zhang Soft error rate analysis system
US20070136705A1 (en) * 2005-12-09 2007-06-14 Fujitsu Limited Timing analysis method and device
JP2007183932A (ja) * 2005-12-09 2007-07-19 Fujitsu Ltd タイミング解析方法及びタイミング解析装置
US7437697B2 (en) * 2005-12-16 2008-10-14 International Business Machines Corporation System and method of criticality prediction in statistical timing analysis
JP4774294B2 (ja) * 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
TWI380168B (en) 2005-12-26 2012-12-21 Ibm System, method, program, and system implementation method for transaction process logging
JP4554509B2 (ja) 2005-12-27 2010-09-29 ルネサスエレクトロニクス株式会社 タイミング解析装置及びタイミング解析手法
US7480880B2 (en) 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
JP4759419B2 (ja) * 2006-03-23 2011-08-31 富士通株式会社 遅延解析プログラム、記録媒体、遅延解析方法、および遅延解析装置
JP4773903B2 (ja) * 2006-07-05 2011-09-14 富士通株式会社 統計的タイミング解析の悲観的誤差を評価する方法
US7698674B2 (en) * 2006-12-01 2010-04-13 International Business Machines Corporation System and method for efficient analysis of point-to-point delay constraints in static timing
US8640066B1 (en) 2007-01-10 2014-01-28 Cadence Design Systems, Inc. Multi-phase models for timing closure of integrated circuit designs
US8977995B1 (en) * 2007-01-10 2015-03-10 Cadence Design Systems, Inc. Timing budgeting of nested partitions for hierarchical integrated circuit designs
US8365113B1 (en) * 2007-01-10 2013-01-29 Cadence Design Systems, Inc. Flow methodology for single pass parallel hierarchical timing closure of integrated circuit designs
US7555740B2 (en) * 2007-02-27 2009-06-30 International Business Machines Corporation Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-corner static timing analysis
JP4414444B2 (ja) 2007-03-27 2010-02-10 富士通株式会社 遅延解析支援プログラム、該プログラムを記録した記録媒体、遅延解析支援装置、および遅延解析支援方法
US7814448B2 (en) * 2007-04-09 2010-10-12 International Business Machines Corporation Representing and propagating a variational voltage waveform in statistical static timing analysis of digital circuits
JP4964685B2 (ja) * 2007-06-21 2012-07-04 ルネサスエレクトロニクス株式会社 半導体集積回路の電源変動検証装置及び方法並びにそのプログラム
JP2009021378A (ja) * 2007-07-11 2009-01-29 Nec Electronics Corp 半導体集積回路の生産方法、設計方法及び設計システム
JP2009025914A (ja) * 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
JP2009025891A (ja) * 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7689957B2 (en) * 2007-09-10 2010-03-30 Synopsys, Inc. Identifying and improving robust designs using statistical timing analysis
US7861199B2 (en) * 2007-10-11 2010-12-28 International Business Machines Corporation Method and apparatus for incrementally computing criticality and yield gradient
US7761832B2 (en) * 2007-11-16 2010-07-20 International Business Machines Corporation Method for incremental, timing-driven, physical-synthesis optimization under a linear delay model
US7849429B2 (en) * 2008-03-24 2010-12-07 International Business Machines Corporation Methods for conserving memory in statistical static timing analysis
JP4973561B2 (ja) * 2008-03-26 2012-07-11 富士通セミコンダクター株式会社 半導体集積回路の設計方法。
US7873926B2 (en) * 2008-03-31 2011-01-18 International Business Machines Corporation Methods for practical worst test definition and debug during block based statistical static timing analysis
JP4635072B2 (ja) * 2008-03-31 2011-02-16 株式会社東芝 統計的タイミング解析装置および統計的タイミング解析方法
US7886247B2 (en) * 2008-04-29 2011-02-08 International Business Machines Corporation Method and apparatus for statistical path selection for at-speed testing
US7844933B2 (en) * 2008-05-01 2010-11-30 International Business Machines Corporation Methods of optimizing timing of signals in an integrated circuit design using proxy slack values
US8086976B2 (en) * 2008-05-15 2011-12-27 International Business Machines Corporation Methods for statistical slew propagation during block-based statistical static timing analysis
US8056035B2 (en) 2008-06-04 2011-11-08 International Business Machines Corporation Method and system for analyzing cross-talk coupling noise events in block-based statistical static timing
JP5262411B2 (ja) * 2008-08-07 2013-08-14 富士通株式会社 遅延時間解析装置,遅延時間解析方法および遅延時間解析プログラム
US20100063761A1 (en) * 2008-09-11 2010-03-11 Frenkil Gerald L Clock Jitter Analysis
US7971120B2 (en) * 2008-12-19 2011-06-28 International Business Machines Corporation Method and apparatus for covering a multilayer process space during at-speed testing
US7987440B2 (en) * 2009-01-12 2011-07-26 International Business Machines Corporation Method and system for efficient validation of clock skews during hierarchical static timing analysis
WO2010092825A1 (ja) * 2009-02-13 2010-08-19 パナソニック株式会社 回路解析方法
EP2234026A1 (en) * 2009-03-25 2010-09-29 Imec Method and system for analyzing performance metrics of array type circuits under process variability
US8079004B2 (en) * 2009-04-30 2011-12-13 Synopsys, Inc. Efficient exhaustive path-based static timing analysis using a fast estimation technique
US8176462B2 (en) * 2009-05-11 2012-05-08 International Business Machines Corporation Method and apparatus for generating test patterns for use in at-speed testing
KR101058866B1 (ko) * 2009-05-26 2011-08-23 포항공과대학교 산학협력단 타이밍 수율을 고려한 확률 통계 기법을 기반으로 한 점진적인 정적 타이밍 해석 방법
US8108815B2 (en) * 2009-05-26 2012-01-31 International Business Machines Corporation Order independent method of performing statistical N-way maximum/minimum operation for non-Gaussian and non-linear distributions
JP5397083B2 (ja) * 2009-08-17 2014-01-22 富士通株式会社 回路設計支援方法、回路設計支援装置および回路設計支援プログラム
US8340939B2 (en) * 2009-10-30 2012-12-25 International Business Machines Corporation Method and apparatus for selecting paths for use in at-speed testing
JP2011113302A (ja) * 2009-11-26 2011-06-09 Renesas Electronics Corp 半導体集積回路のタイミング検証装置、タイミング検証方法、タイミング検証プログラム
JP5353679B2 (ja) * 2009-12-17 2013-11-27 富士通株式会社 故障診断支援プログラム、および故障診断支援装置
US8266565B2 (en) * 2010-01-29 2012-09-11 International Business Machines Corporation Ordering of statistical correlated quantities
CN102567557B (zh) * 2010-12-20 2014-07-09 国际商业机器公司 用于构建用于集成电路设计的时钟树的方法和装置
US9858368B2 (en) 2011-07-13 2018-01-02 International Business Machines Corporation Integrating manufacturing feedback into integrated circuit structure design
US8707233B2 (en) 2011-07-25 2014-04-22 International Business Machines Corporation Systems and methods for correlated parameters in statistical static timing analysis
US8468483B2 (en) 2011-10-24 2013-06-18 International Business Machines Corporation Method, system and program storage device for performing a parameterized statistical static timing analysis (SSTA) of an integrated circuit taking into account setup and hold margin interdependence
US9275178B1 (en) * 2012-06-29 2016-03-01 Altera Corporation Method and apparatus for considering paths influenced by different power supply domains in timing analysis
US8522183B1 (en) 2012-06-30 2013-08-27 Freescale Semiconductor, Inc. Static timing analysis adjustments for aging effects
US8732642B2 (en) 2012-08-02 2014-05-20 International Business Machines Corporation Method for achieving an efficient statistical optimization of integrated circuits
US9058456B2 (en) * 2012-09-25 2015-06-16 International Business Machines Corporation Method and system to fix early mode slacks in a circuit design
US9280624B2 (en) 2014-04-29 2016-03-08 International Business Machines Corporation System and method for efficient statistical timing analysis of cycle time independent tests
US9400864B2 (en) 2014-07-09 2016-07-26 International Business Machines Corporation System and method for maintaining slack continuity in incremental statistical timing analysis
KR102324782B1 (ko) 2015-01-22 2021-11-11 삼성전자주식회사 집적 회로의 정적 타이밍 분석 방법
US9342639B1 (en) 2015-02-17 2016-05-17 International Business Machines Corporation Method of hierarchical timing closure of VLSI circuits using partially disruptive feedback assertions
US9607124B2 (en) 2015-04-21 2017-03-28 International Business Machines Corporation Method of hierarchical timing closure employing dynamic load-sensitive feedback constraints
US9519747B1 (en) 2015-06-26 2016-12-13 Globalfoundries Inc. Dynamic and adaptive timing sensitivity during static timing analysis using look-up table
US9710580B2 (en) 2015-07-06 2017-07-18 Global Unichip Corporation Timing analysis method for digital circuit design and system thereof
US9959382B2 (en) 2016-01-04 2018-05-01 International Business Machines Corporation Adaptive characterization and instantiation of timing abstracts
US9767239B1 (en) * 2016-10-20 2017-09-19 International Business Machines Corporation Timing optimization driven by statistical sensitivites
KR102648088B1 (ko) 2019-01-25 2024-03-18 삼성전자주식회사 멀티 입력 스위칭을 반영한 반도체 소자의 동작 타이밍 분석 장치 및 방법
US11017137B2 (en) 2019-10-07 2021-05-25 International Business Machines Corporation Efficient projection based adjustment evaluation in static timing analysis of integrated circuits
TWI744157B (zh) * 2020-12-31 2021-10-21 瑞昱半導體股份有限公司 內嵌式記憶體系統與記憶體測試方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5181226A (en) * 1989-03-06 1993-01-19 Raytheon Company Threshold level generator
JPH04274567A (ja) 1991-02-28 1992-09-30 Nec Corp 論理回路の遅延計算方式
US5383167A (en) * 1991-10-16 1995-01-17 Nextwave Design Automation Method and apparatus for histogram based digital circuit simulator
US5648909A (en) * 1992-06-12 1997-07-15 Digital Equipment Corporation Static timing verification in the presence of logically false paths
US6591402B1 (en) * 1999-03-19 2003-07-08 Moscape, Inc. System and method for performing assertion-based analysis of circuit designs
US7149674B1 (en) * 2000-05-30 2006-12-12 Freescale Semiconductor, Inc. Methods for analyzing integrated circuits and apparatus therefor
JP2002279012A (ja) 2000-11-22 2002-09-27 Matsushita Electric Ind Co Ltd 遅延分布計算方法、回路評価方法およびフォールスパス抽出方法
US6625569B2 (en) * 2001-03-08 2003-09-23 California Institute Of Technology Real-time spatio-temporal coherence estimation for autonomous mode identification and invariance tracking
US20040002844A1 (en) 2002-06-27 2004-01-01 Jess Jochen A.G. System and method for statistical modeling and statistical timing analysis of integrated circuits
JP4152778B2 (ja) 2003-03-11 2008-09-17 富士通株式会社 超伝導システムおよび超伝導回路チップ
US7111260B2 (en) * 2003-09-18 2006-09-19 International Business Machines Corporation System and method for incremental statistical timing analysis of digital circuits
US7428716B2 (en) * 2003-09-19 2008-09-23 International Business Machines Corporation System and method for statistical timing analysis of digital circuits

Also Published As

Publication number Publication date
US8010921B2 (en) 2011-08-30
US20050065765A1 (en) 2005-03-24
CN1627302A (zh) 2005-06-15
CN100350414C (zh) 2007-11-21
US7428716B2 (en) 2008-09-23
JP2005092885A (ja) 2005-04-07
TWI311717B (en) 2009-07-01
US20090013294A1 (en) 2009-01-08
TW200527237A (en) 2005-08-16

Similar Documents

Publication Publication Date Title
JP4061295B2 (ja) デジタル回路の統計的タイミング解析のためのシステムおよび方法
US7086023B2 (en) System and method for probabilistic criticality prediction of digital circuits
US7512919B2 (en) System and method for incremental statistical timing analysis of digital circuits
Visweswariah Death, taxes and failing chips
US7555740B2 (en) Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-corner static timing analysis
Agarwal et al. Statistical timing analysis for intra-die process variations with spatial correlations
US7487486B2 (en) Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations
US7958470B1 (en) Method and system for false path analysis
US20050066297A1 (en) System and method for correlated process pessimism removal for static timing analysis
US8056035B2 (en) Method and system for analyzing cross-talk coupling noise events in block-based statistical static timing
US6795951B2 (en) Method and system for fault-tolerant static timing analysis
US8713506B2 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce dynamic power in an electronic circuit and an apparatus incorporating the same
US10789406B1 (en) Characterizing electronic component parameters including on-chip variations and moments
US11593543B2 (en) Glitch power analysis with register transfer level vectors
KR100482894B1 (ko) 반도체디바이스에서의소자크기들을최적화하는방법
Kang et al. Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters
US10372851B2 (en) Independently projecting a canonical clock
US10885248B1 (en) Method for modeling glitches during circuit simulation
Gupta et al. BTI-aware design using variable latency units
Peng et al. Statistical soft error rate (SSER) analysis for scaled CMOS designs
Meixner et al. Limits of gate-level power estimation considering real delay effects and glitches
Balef et al. An analytical model for interdependent setup/hold-time characterization of flip-flops
Rao et al. Statistical path tracing in timing graphs
Sundareswaran et al. A timing methodology considering within-die clock skew variations
Corbetta et al. Time-efficient modeling and simulation of true workload dependency for bti-induced degradation in processor-level platform specifications

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070807

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071221

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101228

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101228

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111228

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111228

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121228

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121228

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131228

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees