US7971120B2 - Method and apparatus for covering a multilayer process space during at-speed testing - Google Patents

Method and apparatus for covering a multilayer process space during at-speed testing Download PDF

Info

Publication number
US7971120B2
US7971120B2 US12/340,072 US34007208A US7971120B2 US 7971120 B2 US7971120 B2 US 7971120B2 US 34007208 A US34007208 A US 34007208A US 7971120 B2 US7971120 B2 US 7971120B2
Authority
US
United States
Prior art keywords
paths
metric
path
value
process space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US12/340,072
Other versions
US20100162064A1 (en
Inventor
Yiyu Shi
Chandramouli Visweswariah
JinJun Xiong
Vladimir Zolotov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mentor Graphics Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/340,072 priority Critical patent/US7971120B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHI, YIYU, VISWESWARIAH, CHANDRAMOULI, XIONG, JINJUN, ZOLOTOV, VLADIMIR
Priority to KR1020090077766A priority patent/KR20100071889A/en
Publication of US20100162064A1 publication Critical patent/US20100162064A1/en
Application granted granted Critical
Publication of US7971120B2 publication Critical patent/US7971120B2/en
Assigned to MENTOR GRAPHICS CORPORATION reassignment MENTOR GRAPHICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2882Testing timing characteristics
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Definitions

  • the present invention relates generally to design automation, and relates more particularly to statistical timing of integrated circuit (IC) chips.
  • IC integrated circuit
  • IC chips When IC chips come off the manufacturing line, the chips are tested “at-speed” to ensure that they perform correctly (and to filter out chips that do not perform correctly). In particular, a set of paths is selected, and the set of paths is then tested for each chip in order to identify the chips in which one or more of the selected paths fail timing requirements. Selection of these paths is complicated by the presence of process variations. Because of these variations, different paths can be critical in different chips. That is, a path that is critical in one chip may not be critical in another chip, and vice versa. As such, selection of the paths that have a higher probability of being critical is typically a goal.
  • test pattern generation tools select these paths based on a single-layer process space coverage metric. As such, some points (i.e., combinations of process parameters) in the process space may only be covered by one path. If that path is not sensitizable (i.e., not capable of being tested), then these points in the process space may be left uncovered by the at-speed testing, resulting in a loss of test quality.
  • the invention is a method and apparatus covering a multilayer process space during at-speed testing.
  • One embodiment of a method for selecting a set of paths with which to test a process space includes determining a number N of paths to be included in the set of paths such that at least number M of paths in N for which testing of the process space will fail, computing a metric that substantially ensures that the set of paths satisfies the requirements of N and M, and outputting the metric for use in selecting the set of paths.
  • FIG. 1 is a schematic diagram illustrating the concepts of the present invention
  • FIG. 2 is a flow diagram illustrating one embodiment of a method for recursively computing a multilayer process coverage metric
  • FIG. 3 illustrates an exemplary computation sequence graph for computing a multilayer process coverage metric
  • FIG. 4 illustrates one embodiment of a binary tree data structure for use in updating a multilayer process coverage metric
  • FIG. 5 is a high-level block diagram of the metric computation method that is implemented using a general purpose computing device.
  • the present invention is a method and apparatus for covering a process space multiple times during at-speed testing of IC chips.
  • Embodiments of the invention select paths for at-speed testing such that each point in the process space is covered at least “M” times, where M is a user definable parameter.
  • M is a user definable parameter.
  • at least M test paths cover each point.
  • the redundancy achieved by controlling or customizing the coverage of the process space in this way improves the robustness of the at-speed testing process.
  • ⁇ X is a k ⁇ 1 vector containing normalized Gaussian random variables to model the variation of the process parameters, including chip-to-chip, within chip, and local random variations.
  • ⁇ N (1) ⁇ 1 ⁇ . . . ⁇ N (EQN. 2)
  • a set of paths defines (or covers) a subspace of the entire process space such that by testing the set of paths at-speed, all bad chips manufactured under those process conditions can be sorted out.
  • the corresponding process coverage metric C can thus be interpreted as:
  • the subspace covered by path slack S 1 is C ⁇ D ⁇ E
  • the subspace covered by path slack S 2 is B ⁇ C ⁇ D ⁇ F
  • the subspace covered by path slack S 3 is A ⁇ B ⁇ C.
  • ⁇ M (M) ⁇ 1 ⁇ . . . ⁇ M (EQN. 4)
  • the subspace ⁇ M (M) is covered M times.
  • the subspace covered at least M times by the set of N paths, ⁇ N (M) ⁇ ⁇ would be the union of ⁇ M,i (M) with each ⁇ m,i (M) covered by all paths forming a subset of M paths from ⁇ .
  • ⁇ N ( M ) ⁇ ⁇ i ⁇ ( N M ) ⁇ comb ⁇ ( ⁇ M , i ( M ) ) ( EQN . ⁇ 5 )
  • a multilayer process space coverage metric can be thus defined as:
  • the result is B ⁇ C ⁇ D.
  • the number of combinations is:
  • N M (and, thus, for selecting the best set of test paths to achieve M-layer coverage) is discussed in greater detail below.
  • C P(min ⁇ S i ⁇ S M ), where S i indicates the i th path slack of the set of paths selected for testing.
  • embodiments of the present invention provide a multilayer process coverage metric. Referring back to EQN. 9:
  • the present invention defines a function f N,k (S 1 , . . . , S N ) ⁇ k th smallest path slack of N path slacks S 1 , . . . , S N .
  • f N,1 (S 1 , . . . , S N ) min(S 1 , . . . , S N ) is the statistical minimum of the N path slacks; and
  • f N,N (S 1 , . . . , S N ) max(S 1 , . . .
  • EQN. 14 or EQN. 15 one can improve the evaluation of an M-layer coverage metric C from exponential complexity to linear complexity (e.g., O(NM) in time, and O(N+M) in space).
  • f N,M (S 1 , . . . , S N ) can be computed as shown in EQN. 14.
  • R N,M (S 1 , . . . , S N ) the process space overlapped M times by N path slacks.
  • R N,M (S 1 , . . . , S N ) R N ⁇ 1,M (S 1 , . . . , S N ⁇ 1 ) ⁇ R N ⁇ 1,M ⁇ 1 (S 1 , . . . , S N ⁇ 1 ) ⁇ R 1,1 (S N ) ⁇ .
  • the process space covered M times by the path slacks S 1 through S N is the process space covered M times by path slacks S 1 through S N ⁇ 1 , plus the joint space of the process space covered by path slack S N and the process space covered M ⁇ 1 times by path slacks S 1 through S N ⁇ 1 .
  • this relation can be represented as shown in EQN. 15.
  • FIG. 2 is a flow diagram illustrating one embodiment of a method 200 for recursively computing a multilayer process coverage metric C by referring to EQN. 15.
  • the method 200 is initialized at step 202 and proceeds to step 204 , where the method 200 receives (e.g., from a user) as input the desired level of coverage (M), the number (N) of paths having path slacks ( ⁇ S 1 , . . . , S N ⁇ ), and the required path slack S M .
  • M desired level of coverage
  • N number of paths having path slacks
  • S M the required path slack S M .
  • step 206 the method 200 computes a first value B 1 , where B 1 is the M ⁇ 1 th smallest path slack of N ⁇ 1 of the path slacks.
  • B 1 f N ⁇ 1,M ⁇ 1 (S 1 , . . . , S N ⁇ 1 ).
  • B 1 f 3,1 (S 1 , S 2 , S 3 ).
  • step 208 the method 200 computes a second value B 2 , where B 2 is the M th smallest path slack of the N ⁇ 1 path slacks.
  • B 2 f N ⁇ 1,M (S 1 , . . . , S N ⁇ 1 ).
  • B 2 f 3,2 (S 1 , S 2 , S 3 ).
  • step 210 the method 200 computes a third value B 3 , where B 3 is the maximum of the first value B 1 and the rest (last) path slack S N .
  • B 3 max(B 1 , S N ).
  • B 3 max(f 3,1 (S 1 , S 2 , S 3 ), S N ).
  • step 212 the method 200 computes a fourth value B M , where B M is the minimum of the second value B 2 and the third value B 3 .
  • B M min(B 2 , B 3 ).
  • B M min((f 3,1 (S 1 , S 2 , S 3 )), (max(f 3,1 (S 1 , S 2 , S 3 ), S N ))).
  • step 214 the method 200 computes the multilayer process coverage metric C as the probability that the fourth value B M is less than or equal to the required path slack S M .
  • C P(B M ⁇ S M ).
  • C P(min((f 3,1 (S 1 , S 2 , S 3 )), (max(f 3,1 (S 1 , S 2 , S 3 ), S N ))) ⁇ S M ).
  • step 216 the method 200 outputs or stores the multilayer process coverage metric C (e.g., for use in at-speed testing or other applications) before terminating in step 218 .
  • the multilayer process coverage metric C e.g., for use in at-speed testing or other applications
  • the method 200 computes the multilayer process coverage metric C for M-layer coverage as the probability that the M th smallest path slack in the process space is less than the required path slack S M .
  • Each recursion as shown in the method 200 requires two min/max operations, as shown below (same as EQN. 15).
  • f N,M ( S 1 , . . . ,S N ) min( f N ⁇ 1,M ( S 1 , . . . ,S N ⁇ 1 ),max(f N ⁇ 1,M ⁇ 1 ( S 1 , . . . ,S N ⁇ 1 ), S N )) (EQN. 17)
  • FIG. 3 illustrates an exemplary computation sequence graph 300 for computing the multilayer process coverage metric C.
  • each node represents an input to a computation at a higher-level node
  • each edge represents a single min or max operation.
  • a given node's two input nodes and two edges (which correspond to the two inputs and the two min/max operations, respectively) are needed to compute the results stored at the given node according to EQN. 17.
  • EQN. 17 By performing the computation along the dashed lines bottom-up and only keeping those results necessary for the next level of computation, one can compute all required order statistics (f 6,3 , f 6,2 , and f 6,1 ) in linear time O(NM and space O(N+M).
  • the evaluation of the M-layer process coverage metric can be performed linearly.
  • values of f N,M (S 1 , . . . , S N ) may be stored in a data structure such as a binary tree, allowing for quick computation and updating of the multilayer process coverage metric C.
  • a second function g N,k (S 1 , . . . , S N ) is defined as: g N,k (S 1 , . . . ,S N ) ⁇ f N,1 (S 1 , . . . ,S N ),f N,2 (S 1 , . . . ,S N ), . . . ,f N,k (S 1 , . . .
  • g N,k (S 1 , . . . , S N ) gives a set of k order statistics for N path slacks S 1 , . . . , S N .
  • g N,k ( ⁇ ) ⁇ f N,1 ( ⁇ ), f N,2 ( ⁇ ), . . . , f N,N ( ⁇ ) ⁇ if N ⁇ k, i.e.:
  • g N , k ⁇ ( S 1 , ... ⁇ , S N ) ⁇ f N , 1 ⁇ ( ⁇ ) , ... ⁇ , f N , k ⁇ ( ⁇ ) ⁇ ⁇ if ⁇ ⁇ N ⁇ k f N , 1 ⁇ ( ⁇ ) , ... ⁇ , f N , N ⁇ ( ⁇ ) ⁇ ⁇ if ⁇ ⁇ N ⁇ k ( EQN . ⁇ 19 )
  • FIG. 4 illustrates one embodiment of a binary tree data structure 400 for use in updating a multilayer process coverage metric.
  • the binary tree comprises a plurality of interconnected nodes D, where each leaf node (e.g., nodes S 1 , S 2 , . . . , S N ) corresponds to one path slack S i .
  • each leaf node e.g., nodes S 1 , S 2 , . . . , S N
  • the node slack set Q D At every node D in the tree, two sets of slacks are stored: the node slack set Q D and the complement node slack set Q D .
  • the former contains the first to M th order statistics of all downstream leaf node slacks; the latter contains the first to M th order statistics of all but the downstream leaf node slacks.
  • Q D g 2M,M ( Q Dleft ⁇ Q Dright ) (EQN. 20)
  • Q D g 2M,M ( Q Dlparent ⁇ Q Dsibling ) (EQN. 21) where D left , D right , D parent , and D sibling are node D's left child, right child, parent, and sibling nodes, respectively.
  • the first traversal is bottom-up from the leaves to the root by computing all node slack sets Q D via EQN. 20; the second traversal is top-down from the root to the leaves by computing all complement node slack sets Q D via EQN. 21.
  • the complexity of constructing the binary tree data structure 400 is O(NM 2 ).
  • C P ( f M+1,M ( g ( S N ),M , ⁇ tilde over (S) ⁇ N ) ⁇ S M ) (EQN. 25) by replacing the previous S N with the newly chosen ⁇ tilde over (S) ⁇ N .
  • the replacement can be performed in O(N 2 M) time by updating the data structure 400 illustrated in FIG. 4 as follows. To perform bottom-up updating, one thread of a path from this leaf node to the root is used. To perform top-down updating, all internal nodes O(N) are used. The total complexity is O(NM 2 ).
  • the complexity of updating the multilayer process coverage metric C can be reduced from O(N 2 M) to O(NM 2 ).
  • the number of required paths N is on the order of thousands, while the number of required layers M for coverage is less than ten. Therefore, the complexity is reduced from quadratic O(N 2 ) to linear O(N).
  • the multilayer process coverage metric may be used in place of a single-layer process coverage metric in substantially any circumstances where a single-layer process coverage metric is used.
  • the branch and bound type framework for path selection described in U.S. patent application Ser. No. 12/244,512 which is herein incorporated by reference in its entirety, may be adapted to benefit from the multilayer process coverage metric of the present invention.
  • the selected paths may be efficiently maintained and updated using a binary tree data structure or other data structure.
  • process coverage metric of the present invention is described within the context of design automation, the process coverage metric may have application in other fields as well.
  • process coverage metric is described within the context of path selection for at-speed testing, the process coverage metric may have application in other aspects of design automation where path selection plays a role (e.g., variation-aware critical path reporting, common path pessimism removal, chip binning, yield optimization).
  • path selection plays a role (e.g., variation-aware critical path reporting, common path pessimism removal, chip binning, yield optimization).
  • one or more of N, M, and S M may be user defined.
  • FIG. 5 is a high-level block diagram of the metric computation method that is implemented using a general purpose computing device 500 .
  • a general purpose computing device 500 comprises a processor 502 , a memory 504 , a metric computation module 505 and various input/output (I/O) devices 506 such as a display, a keyboard, a mouse, a stylus, a wireless network access card, and the like.
  • I/O devices 506 such as a display, a keyboard, a mouse, a stylus, a wireless network access card, and the like.
  • at least one I/O device is a storage device (e.g., a disk drive, an optical disk drive, a floppy disk drive).
  • the metric computation module 505 can be implemented as a physical device or subsystem that is coupled to a processor through a communication channel.
  • the metric computation module 505 can be represented by one or more software applications (or even a combination of software and hardware, e.g., using Application Specific Integrated Circuits (ASIC)), where the software is loaded from a storage medium (e.g., I/O devices 506 ) and operated by the processor 502 in the memory 504 of the general purpose computing device 500 .
  • a storage medium e.g., I/O devices 506
  • the metric computation module 505 for computing a multilayer process coverage metric can be stored on a computer readable storage medium or carrier (e.g., RAM, magnetic or optical drive or diskette, and the like).
  • one or more steps of the methods described herein may include a storing, displaying and/or outputting step as required for a particular application.
  • any data, records, fields, and/or intermediate results discussed in the methods can be stored, displayed, and/or outputted to another device as required for a particular application.
  • steps or blocks in the accompanying Figures that recite a determining operation or involve a decision do not necessarily require that both branches of the determining operation be practiced. In other words, one of the branches of the determining operation can be deemed as an optional step.

Abstract

In one embodiment, the invention is a method and apparatus covering a multilayer process space during at-speed testing. One embodiment of a method for selecting a set of paths with which to test a process space includes determining a number N of paths to be included in the set of paths such that at least number M of paths in N for which testing of the process space will fail, computing a metric that substantially ensures that the set of paths satisfies the requirements of N and M, and outputting the metric for use in selecting the set of paths.

Description

BACKGROUND OF THE INVENTION
The present invention relates generally to design automation, and relates more particularly to statistical timing of integrated circuit (IC) chips.
When IC chips come off the manufacturing line, the chips are tested “at-speed” to ensure that they perform correctly (and to filter out chips that do not perform correctly). In particular, a set of paths is selected, and the set of paths is then tested for each chip in order to identify the chips in which one or more of the selected paths fail timing requirements. Selection of these paths is complicated by the presence of process variations. Because of these variations, different paths can be critical in different chips. That is, a path that is critical in one chip may not be critical in another chip, and vice versa. As such, selection of the paths that have a higher probability of being critical is typically a goal.
Conventional test pattern generation tools select these paths based on a single-layer process space coverage metric. As such, some points (i.e., combinations of process parameters) in the process space may only be covered by one path. If that path is not sensitizable (i.e., not capable of being tested), then these points in the process space may be left uncovered by the at-speed testing, resulting in a loss of test quality.
Thus, there is a need in the art for a method and apparatus for covering a multilayer process space during at-speed testing.
SUMMARY OF THE INVENTION
In one embodiment, the invention is a method and apparatus covering a multilayer process space during at-speed testing. One embodiment of a method for selecting a set of paths with which to test a process space includes determining a number N of paths to be included in the set of paths such that at least number M of paths in N for which testing of the process space will fail, computing a metric that substantially ensures that the set of paths satisfies the requirements of N and M, and outputting the metric for use in selecting the set of paths.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 is a schematic diagram illustrating the concepts of the present invention;
FIG. 2, for example, is a flow diagram illustrating one embodiment of a method for recursively computing a multilayer process coverage metric;
FIG. 3 illustrates an exemplary computation sequence graph for computing a multilayer process coverage metric;
FIG. 4 illustrates one embodiment of a binary tree data structure for use in updating a multilayer process coverage metric; and
FIG. 5 is a high-level block diagram of the metric computation method that is implemented using a general purpose computing device.
DETAILED DESCRIPTION
In one embodiment, the present invention is a method and apparatus for covering a process space multiple times during at-speed testing of IC chips. Embodiments of the invention select paths for at-speed testing such that each point in the process space is covered at least “M” times, where M is a user definable parameter. In other words, at least M test paths cover each point. As such, even if some paths are not sensitizable, the process space may still be covered by other paths. The redundancy achieved by controlling or customizing the coverage of the process space in this way improves the robustness of the at-speed testing process.
In statistical timing, all timing quantities such as slack S are represented as functions (e.g., linear or quadratic) of the underlying process parameters ΔX (i.e., S=F(ΔX), where ΔX is a k×1 vector containing normalized Gaussian random variables to model the variation of the process parameters, including chip-to-chip, within chip, and local random variations). The entire k-dimensional space spanned by ΔX is also called the “process space” and is denoted by Ω={ΔX|ΔXεRk}.
The meaning of process space coverage and its metric C can be explained by defining a mapping from the path slack Si to a subspace ωi Ω as:
ωi ={ΔX|S i =F iX)≦0}  (EQN. 1)
For a set of N paths, the corresponding single-layer subspace ωN (1) Ω is the union of the subspaces defined by each individual path, i.e.:
ωN (1)1∪ . . . ∪ωN  (EQN. 2)
In other words, a set of paths defines (or covers) a subspace of the entire process space such that by testing the set of paths at-speed, all bad chips manufactured under those process conditions can be sorted out. The corresponding process coverage metric C can thus be interpreted as:
q ( Π ) = ω N ( 1 ) Ω ( EQN . 3 )
where |·| is a Lebesgue measure (i.e., probability-weighted area) of the process space.
FIG. 1 is a schematic diagram illustrating the concepts of the present invention. Specifically, FIG. 1 illustrates an exemplary two-dimensional process space 100. At any given point in the process space 100, among the N paths being tested, there will be at least M paths that fail testing. This indicates M-layer coverage at that point. For example, supposed that M=2 and N=3, with the space covered by path slacks denoted as S1, S2, and S3 (where a path's path slack refers to how much faster a signal can propagate through the path than required). The process coverage by each of the path slacks S1, S2, and S3, individually, is single-layer. For instance, within the three-sigma circular region of the process space 100, the subspace covered by path slack S1 is C∪D∪E, the subspace covered by path slack S2 is B∪C∪D∪F, and the subspace covered by path slack S3 is A∪B∪C.
On the other hand, the subspace covered by all M paths is given by:
ωM (M)1∪ . . . ∪ωM  (EQN. 4)
In other words, the subspace ωM (M) is covered M times. For a set of N paths Π={π1, π2, . . . , πN}, with corresponding coverage subspace {ω1, ω2, . . . ωN}, the subspace covered at least M times by the set of N paths, ωN (M) Ω, would be the union of ωM,i (M) with each ωm,i (M) covered by all paths forming a subset of M paths from Π. There are a total of
( N M )
number of ωM,i (M). Mathematically, this is expressed as:
ω N ( M ) = i ( N M ) comb ( ω M , i ( M ) ) ( EQN . 5 )
Similar to EQN. 3, a multilayer process space coverage metric can be thus defined as:
q ( M ) ( Π ) = ω N ( M ) Ω ( EQN . 6 )
As such, process space in FIG. 1 that has two-layer coverage (i.e., M=2 such that the process space is covered at least by two of the N path slacks S1, S2, and S3), such as the regions denoted as B, C, and D in FIG. 1, is given by:
ω3 (2)=(ω1∩ω2)∪(ω1∩ω3)∪(ω2∩ω3)  (EQN. 7)
The result is B∪C∪D. Thus, in general, for M=2, one has:
ω N ( 2 ) = i j ( ω i ω j ) ( EQN . 8 )
More generally:
ω N ( M ) = comb ofM fromS 1 , , S N i = 1 M ω i , comb . ( EQN . 9 )
The number of combinations is:
( N M ) = N ! M ! ( N - M ) ! ( EQN . 10 )
Embodiments of methods for efficiently determining
( N M )
(and, thus, for selecting the best set of test paths to achieve M-layer coverage) is discussed in greater detail below.
A path fails testing if and only if its path slack is less than a required path slack SM (implying that the path is too slow and causes a timing violation). Thus, a process coverage metric C for achieving M-layer coverage is substantially equal to the probability that a set of paths fails testing, or:
C=P(path slack≦S M)  (EQN. 11)
For single-layer coverage (i.e., M=1), C=P(min{Si}≦SM), where Si indicates the ith path slack of the set of paths selected for testing. In other words, the process coverage metric for single-layer coverage is the weighted area covered by all paths selected for testing. For instance, referring to the process space 100, C=
ω 1 ω 2 ω 3 Ω = P ( min { S 1 , S 2 , S 2 } S M ) .
As discussed above, embodiments of the present invention provide a multilayer process coverage metric. Referring back to EQN. 9:
C = P ( min comb . ofM fromS 1 , , S N { max i = 1 , , M { S i , comb } } S M ) ( EQN . 12 )
Where M=2, the combinations of paths are O(N2); however, for M>2, the combinations are exponentially larger (i.e., O(N3)˜O(2N)). Brute force computation of the multilayer process coverage metric C is therefore likely to be infeasible to compute in most cases. The present invention therefore provides methods for efficiently computing the multilayer process coverage metric C, described in greater detail below with respect to FIG. 2.
In one embodiment, the present invention defines a function fN,k(S1, . . . , SN)≡kth smallest path slack of N path slacks S1, . . . , SN. As special cases, fN,1(S1, . . . , SN)=min(S1, . . . , SN) is the statistical minimum of the N path slacks; and fN,N(S1, . . . , SN)=max(S1, . . . , SN) is the statistical maximum of the N path slacks The multilayer process coverage metric can then be redefined as:
C=P(f N,k(S 1 , . . . ,S N)≦S M)  (EQN. 13)
As described in further detail below, the function fN,k(·) can be computed recursively as:
f N,M(S 1 ,S N)=f M+1,M(f N−1,1(S 1 , . . . ,S N−1), . . . ,f N−1,M(S 1 , . . . ,S N−1),S N)  (EQN. 14)
or as
f N,M(S 1 , . . . ,S N)=min(f N−1,M(S 1 , . . . ,S N−1),max(f N−1,M−1(S 1 , . . . ,S N−1),S N))  (EQN. 15)
Using EQN. 14 or EQN. 15, one can improve the evaluation of an M-layer coverage metric C from exponential complexity to linear complexity (e.g., O(NM) in time, and O(N+M) in space).
Referring back to EQN. 12, the following equivalent event is noted for M=2 and N=4 for path slacks {S1, S2, S3, S4}:
  • min{max{S1, S2}, max{S1, S3}, max{S1, S4}, max{S2, S3}, max{S2, S4}, max{S3, S4}≦SM
    • if and only if
    • the second smallest path slack of {S1, S2, S3, S4}≦SM.
Remembering the definition of fN,k(S1, . . . , SN)≡kth smallest path slack of N path slacks, one can derive EQN. 14 in more detail as follows:
f 4,2(S 1 ,S 2 ,S 3 ,S 4)=f 3,2(f 3,2(f 3,1(S 1 ,S 2 ,S 3),f 3,2(S 1 ,S 2 ,S 3)S 4)
f 5,2(S 1 ,S 2 ,S 3 ,S 4 ,S 5)=f 3,2(f 4,1(S 1 ,S 2 ,S 3 ,S 4),f 4,2(S 1 ,S 2 S 3 ,S 4),S 5)
f 6,2(S 1 ,S 2 ,S 3 ,S 4 ,S 5 ,S 6)=f 3,2(f 5,1(S 1 ,S 2 ,S 3 ,S 4 ,S 5),f 5,2(S 1 ,S 2 ,S 3 ,S 4 ,S 5),S 6)
. . .
fN,2(S 1 , . . . ,S N)=f 3,2(f N−1,1(S 1 , . . . ,S N−1),f N−1,2(S 1 , . . . ,S N−1),S N)
. . .
f N,M(S 1 , . . . ,S N)=f M+1,M(f N−1,1(S 1 , . . . ,S N−1), . . . ,f N−1,M S 1 , . . . ,S N−1),S N)
Thus, as the pattern implies, fN,M(S1, . . . , SN) can be computed as shown in EQN. 14.
To derive fN,M(S1, . . . , SN) as shown in EQN. 15, one can denote RN,M(·) as the process space overlapped M times by N path slacks. Thus, RN,M(S1, . . . , SN)=RN−1,M(S1, . . . , SN−1)∪{RN−1,M−1(S1, . . . , SN−1)∩R1,1(SN)}. Thus, the process space covered M times by the path slacks S1 through SN is the process space covered M times by path slacks S1 through SN−1, plus the joint space of the process space covered by path slack SN and the process space covered M−1 times by path slacks S1 through SN−1. Mathematically, this relation can be represented as shown in EQN. 15.
FIG. 2, for example, is a flow diagram illustrating one embodiment of a method 200 for recursively computing a multilayer process coverage metric C by referring to EQN. 15.
The method 200 is initialized at step 202 and proceeds to step 204, where the method 200 receives (e.g., from a user) as input the desired level of coverage (M), the number (N) of paths having path slacks ({S1, . . . , SN}), and the required path slack SM. As an example, supposed that M=2 and N=4 (i.e., {S1}={S1, S2, S3, S4}.
In step 206, the method 200 computes a first value B1, where B1 is the M−1th smallest path slack of N−1 of the path slacks. In other words, the first value B1=fN−1,M−1(S1, . . . , SN−1). Thus, following the example above, B1=f3,1(S1, S2, S3).
In step 208, the method 200 computes a second value B2, where B2 is the Mth smallest path slack of the N−1 path slacks. In other words, the second value B2=fN−1,M(S1, . . . , SN−1). Thus, following the example above, B2=f3,2(S1, S2, S3).
In step 210, the method 200 computes a third value B3, where B3 is the maximum of the first value B1 and the rest (last) path slack SN. In other words, B3=max(B1, SN). Thus, following the example above, B3=max(f3,1(S1, S2, S3), SN).
In step 212, the method 200 computes a fourth value BM, where BM is the minimum of the second value B2 and the third value B3. In other words, BM=min(B2, B3). Thus, following the example above, BM=min((f3,1(S1, S2, S3)), (max(f3,1(S1, S2, S3), SN))).
In step 214, the method 200 computes the multilayer process coverage metric C as the probability that the fourth value BM is less than or equal to the required path slack SM. In other words, C=P(BM≦SM). Thus, following the example above, C=P(min((f3,1(S1, S2, S3)), (max(f3,1(S1, S2, S3), SN)))≦SM).
In step 216, the method 200 outputs or stores the multilayer process coverage metric C (e.g., for use in at-speed testing or other applications) before terminating in step 218.
In other words, the method 200 computes the multilayer process coverage metric C for M-layer coverage as the probability that the Mth smallest path slack in the process space is less than the required path slack SM. Thus, the multilayer process coverage metric C can finally be given by:
C=P(f N,M(S 1 , . . . ,S N)≦S M),  (EQN. 16)
thus confirming the logic of the method 200.
Each recursion as shown in the method 200 requires two min/max operations, as shown below (same as EQN. 15). For example:
f N,M(S 1 , . . . ,S N)=min(f N−1,M(S 1 , . . . ,S N−1),max(fN−1,M−1(S 1 , . . . ,S N−1),S N))  (EQN. 17)
The idea behind EQN. 17 can be understood with a simple example as shown in FIG. 3, which illustrates an exemplary computation sequence graph 300 for computing the multilayer process coverage metric C.
Consider the case of N=6 and M=3. To compute f6,3 according to EQN. 17, one needs two inputs: f5,3 and f5,2, as well as two min/max operations: this holds similarly for the inputs f5,3 and f5,2. By organizing the data needed for each computation into a directed grid graph such as the graph 300, and sharing intermediate results among different computations, one obtains the pattern illustrated in FIG. 3.
Specifically, in FIG. 3, each node represents an input to a computation at a higher-level node, and each edge represents a single min or max operation. Thus, a given node's two input nodes and two edges (which correspond to the two inputs and the two min/max operations, respectively) are needed to compute the results stored at the given node according to EQN. 17. By performing the computation along the dashed lines bottom-up and only keeping those results necessary for the next level of computation, one can compute all required order statistics (f6,3, f6,2, and f6,1) in linear time O(NM and space O(N+M).
Thus, the total number of min/max operations for a given level of coverage N,M is equal to the number of edges. For instance, for N=6 and M=3 (represented as the point f6,3 in FIG. 3), the number of min/max operations is (6−3)(3−1)+(3−1)(6−3)+(3−1)+(6−3+1−1)=(3)(2)+(2)(3)+2+3=17.
Thus, in general, the evaluation of the M-layer process coverage metric can be performed linearly.
In one embodiment, values of fN,M(S1, . . . , SN) may be stored in a data structure such as a binary tree, allowing for quick computation and updating of the multilayer process coverage metric C. In this case, a second function gN,k(S1, . . . , SN) is defined as:
gN,k(S1, . . . ,SN)≡{fN,1(S1, . . . ,SN),fN,2(S1, . . . ,SN), . . . ,fN,k(S1, . . . ,SN)}  (EQN. 18)
In other words, gN,k(S1, . . . , SN) gives a set of k order statistics for N path slacks S1, . . . , SN. Furthermore, gN,k(·)={fN,1(·), fN,2(·), . . . , fN,N(·)} if N<k, i.e.:
g N , k ( S 1 , , S N ) = { f N , 1 ( · ) , , f N , k ( · ) if N k f N , 1 ( · ) , , f N , N ( · ) if N < k ( EQN . 19 )
FIG. 4 illustrates one embodiment of a binary tree data structure 400 for use in updating a multilayer process coverage metric. The binary tree comprises a plurality of interconnected nodes D, where each leaf node (e.g., nodes S1, S2, . . . , SN) corresponds to one path slack Si. At every node D in the tree, two sets of slacks are stored: the node slack set QD and the complement node slack set Q D. The former contains the first to Mth order statistics of all downstream leaf node slacks; the latter contains the first to Mth order statistics of all but the downstream leaf node slacks.
One can then compute the node slack set QD and complement node slack Q D set as follows:
Q D =g 2M,M(Q Dleft ∪Q Dright)  (EQN. 20)
Q D =g 2M,M(Q Dlparent ∪Q Dsibling)  (EQN. 21)
where Dleft, Dright, Dparent, and Dsibling are node D's left child, right child, parent, and sibling nodes, respectively.
To populate the two sets of data for each node in the tree, two traversals of the tree are required. The first traversal is bottom-up from the leaves to the root by computing all node slack sets QD via EQN. 20; the second traversal is top-down from the root to the leaves by computing all complement node slack sets Q D via EQN. 21. As the input g2M,M(·) is limited to 2M number of slacks, the complexity of constructing the binary tree data structure 400 is O(NM2).
It further follows that at the leaf node (for example, node that corresponds to slack SN), one has:
QS N =SN  (EQN. 22)
Q S N =g N−1,M(S 1 , . . . ,S N−1)  (EQN. 23)
Thus, the multilayer process coverage metric can be computed at each leaf node as:
C=P(f M+1,M(g N−1,M(S 1 , . . . ,S N−1),S N)≦SM)  (EQN. 24)
This is easy to update if the path slack at a leaf node (for example, SN) is replaced.
For instance, the process coverage metric can be updated at the node SN by computing the leaf node path slacks as QS N =SN and the complement leaf node path slacks as Q S N =gN−1,M(S1, . . . , SN−1).
To determine if a newly computed process coverage metric is better than a previously computed process coverage metric, one can compute:
C=P(f M+1,M(g ( S N ),M,{tilde over (S)}N)≦S M)  (EQN. 25)
by replacing the previous SN with the newly chosen {tilde over (S)}N. If the newly computed process coverage metric is better, the replacement can be performed in O(N2M) time by updating the data structure 400 illustrated in FIG. 4 as follows. To perform bottom-up updating, one thread of a path from this leaf node to the root is used. To perform top-down updating, all internal nodes O(N) are used. The total complexity is O(NM2).
To summarize, by utilizing the binary tree type of data structure and maintaining proper node slack sets and complement node slack sets, the complexity of updating the multilayer process coverage metric C can be reduced from O(N2M) to O(NM2). Typically, the number of required paths N is on the order of thousands, while the number of required layers M for coverage is less than ten. Therefore, the complexity is reduced from quadratic O(N2) to linear O(N).
The multilayer process coverage metric may be used in place of a single-layer process coverage metric in substantially any circumstances where a single-layer process coverage metric is used. For example, the branch and bound type framework for path selection described in U.S. patent application Ser. No. 12/244,512, which is herein incorporated by reference in its entirety, may be adapted to benefit from the multilayer process coverage metric of the present invention. Moreover, the selected paths may be efficiently maintained and updated using a binary tree data structure or other data structure.
Although the process coverage metric of the present invention is described within the context of design automation, the process coverage metric may have application in other fields as well. Moreover, although the process coverage metric is described within the context of path selection for at-speed testing, the process coverage metric may have application in other aspects of design automation where path selection plays a role (e.g., variation-aware critical path reporting, common path pessimism removal, chip binning, yield optimization). Moreover, it is noted that one or more of N, M, and SM may be user defined.
FIG. 5 is a high-level block diagram of the metric computation method that is implemented using a general purpose computing device 500. In one embodiment, a general purpose computing device 500 comprises a processor 502, a memory 504, a metric computation module 505 and various input/output (I/O) devices 506 such as a display, a keyboard, a mouse, a stylus, a wireless network access card, and the like. In one embodiment, at least one I/O device is a storage device (e.g., a disk drive, an optical disk drive, a floppy disk drive). It should be understood that the metric computation module 505 can be implemented as a physical device or subsystem that is coupled to a processor through a communication channel.
Alternatively, the metric computation module 505 can be represented by one or more software applications (or even a combination of software and hardware, e.g., using Application Specific Integrated Circuits (ASIC)), where the software is loaded from a storage medium (e.g., I/O devices 506) and operated by the processor 502 in the memory 504 of the general purpose computing device 500. Thus, in one embodiment, the metric computation module 505 for computing a multilayer process coverage metric, as described herein with reference to the preceding Figures, can be stored on a computer readable storage medium or carrier (e.g., RAM, magnetic or optical drive or diskette, and the like).
It should be noted that although not explicitly specified, one or more steps of the methods described herein may include a storing, displaying and/or outputting step as required for a particular application. In other words, any data, records, fields, and/or intermediate results discussed in the methods can be stored, displayed, and/or outputted to another device as required for a particular application. Furthermore, steps or blocks in the accompanying Figures that recite a determining operation or involve a decision, do not necessarily require that both branches of the determining operation be practiced. In other words, one of the branches of the determining operation can be deemed as an optional step.
While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. Various embodiments presented herein, or portions thereof, may be combined to create further embodiments. Furthermore, terms such as top, side, bottom, front, back, and the like are relative or positional terms and are used with respect to the exemplary embodiments illustrated in the figures, and as such these terms may be interchangeable.

Claims (25)

1. A method for selecting a set of paths with which to test a process space, the method comprising:
determining a number N of paths to be included in the set of paths, where each of the N paths has an associated path slack;
determining a least number M of paths in N for which testing of the process space will fail;
computing a metric that ensures that the set of paths satisfies the requirements of N and M; and
outputting the metric for use in selecting the set of paths.
2. The method of claim 1, wherein N, M, and a required path slack SM for the set of paths are user defined.
3. The method of claim 1, wherein the computing comprises:
calculating a first value as an M−1th smallest path slack among N−1 of the N paths;
calculating a second value as an Mth smallest path slack among the N−1 of the N paths;
calculating a third value as a maximum of the first value and a last path slack among the N paths;
calculating a fourth value as a minimum of the first value and the third value; and
computing the metric as a probability that the fourth value is less than or equal to a required path slack SM for the set of paths.
4. The method of claim 1, wherein the metric is substantially equal to a probability that the set of paths will fail testing.
5. The method of claim 1, wherein M is greater than one.
6. The method of claim 1, further comprising:
recursively updating the metric; and
outputting the metric, as updated.
7. The method of claim 6, wherein the metric is recursively updated in accordance with a binary tree data structure.
8. The method of claim 6, wherein the recursively updating includes two min/max operations.
9. The method of claim 1, wherein the set of paths is used to test a batch of integrated circuit chips at-speed.
10. A method for defining multilayer coverage of a process space for a given set of N path slacks, the method comprising:
receiving a least number M of paths in N for which testing of the process space will fail;
defining the multilayer coverage as a probability that a set of paths associated with the set of N path slacks will fail testing; and
outputting the metric.
11. The method of claim 10, wherein N, M, and a required path slack SM for the set of paths are user defined.
12. The method of claim 10, further comprising:
applying the metric for use in selecting a set of paths with which to test the process space.
13. The method of claim 10, wherein the computing comprises:
calculating a first value as an M−1th smallest path slack among N−1 of the set of paths;
calculating a second value as an Mth smallest path slack among the N−1 of the set of paths;
calculating a third value as a maximum of the first value and a last path slack among the set of paths;
calculating a fourth value as a minimum of the first value and the third value; and
computing the probability that the set of paths associated with the set of N path slacks will fail testing as a probability that the fourth value is less than or equal to a required path slack SM for the set of paths.
14. The method of claim 10, wherein M is greater than one.
15. The method of claim 10, further comprising:
recursively updating the metric; and
outputting the metric, as updated.
16. The method of claim 15, wherein the metric is recursively updated in accordance with a binary tree data structure.
17. The method of claim 15, wherein the recursively updating includes two min/max operations.
18. The method of claim 10, wherein the metric is used to select a set of paths for testing a batch of integrated circuit chips at-speed.
19. A method for computing a metric to define a process space covered M times by a set of N path slacks {S1, . . . , SN}, the method comprising:
computing a first value as a first portion process space covered M times by a subset of the set of N path slacks {S1, . . . , SN−1};
computing a second value as a joint space of a second portion process space covered by a last path slack SN of the set of N path slacks and a third portion of the process space covered M−1 times by the subset of the set of N path slacks {S1, . . . , SN};
summing the first value and the second value to produce the metric; and
outputting the metric.
20. The method of claim 19, wherein M is a least number of paths in N for which testing of the process space will fail.
21. The method of claim 19, further comprising:
recursively updating the metric; and
outputting the metric, as updated.
22. The method of claim 19, wherein N, M, and a required path slack SM for the set of paths are user defined.
23. A method for computing a metric to define a process space covered M times by a set of N path slacks {S1, . . . , SN}, the method comprising:
recursively computing a function fN,k(·) as a kth smallest path slack in the set of N path slacks;
computing the metric as a probability that the function fN,k(·) is less than or equal to a minimum allowable path slack; and
outputting the metric.
24. The method of claim 23, wherein M is a least number of paths in N for which testing of the process space will fail.
25. The method of claim 23, wherein N, M, and a required path slack SM for the set of paths are user defined.
US12/340,072 2008-12-19 2008-12-19 Method and apparatus for covering a multilayer process space during at-speed testing Expired - Fee Related US7971120B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/340,072 US7971120B2 (en) 2008-12-19 2008-12-19 Method and apparatus for covering a multilayer process space during at-speed testing
KR1020090077766A KR20100071889A (en) 2008-12-19 2009-08-21 Method and apparatus for covering a multilayer process space during at-speed testing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/340,072 US7971120B2 (en) 2008-12-19 2008-12-19 Method and apparatus for covering a multilayer process space during at-speed testing

Publications (2)

Publication Number Publication Date
US20100162064A1 US20100162064A1 (en) 2010-06-24
US7971120B2 true US7971120B2 (en) 2011-06-28

Family

ID=42267883

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/340,072 Expired - Fee Related US7971120B2 (en) 2008-12-19 2008-12-19 Method and apparatus for covering a multilayer process space during at-speed testing

Country Status (2)

Country Link
US (1) US7971120B2 (en)
KR (1) KR20100071889A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120311515A1 (en) * 2011-06-02 2012-12-06 International Business Machines Corporation Method For Performing A Parallel Static Timing Analysis Using Thread-Specific Sub-Graphs
US8359565B2 (en) 2009-05-11 2013-01-22 International Business Machines Corporation Method and apparatus for generating test patterns for use in at-speed testing

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8151229B1 (en) * 2007-04-10 2012-04-03 Cadence Design Systems, Inc. System and method of computing pin criticalities under process variations for timing analysis and optimization
US8104005B2 (en) * 2008-10-02 2012-01-24 International Business Machines Corporation Method and apparatus for efficient incremental statistical timing analysis and optimization
US8340939B2 (en) * 2009-10-30 2012-12-25 International Business Machines Corporation Method and apparatus for selecting paths for use in at-speed testing

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030188246A1 (en) 2002-03-28 2003-10-02 Jeff Rearick Method and apparatus for deriving a bounded set of path delay test patterns covering all transition faults
US20050065765A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation System and method for statistical timing analysis of digital circuits
US7116142B2 (en) * 2004-12-02 2006-10-03 International Business Machines Corporation Apparatus and method for accurately tuning the speed of an integrated circuit
US7257800B1 (en) * 2003-07-11 2007-08-14 Altera Corporation Method and apparatus for performing logic replication in field programmable gate arrays
US20070288822A1 (en) 2006-04-27 2007-12-13 Xijiang Lin Timing-aware test generation and fault simulation
US20080183731A1 (en) 2007-01-26 2008-07-31 Auburn University, An Alabama Corporation Nominal population metric: clustering of nominal application attributes
US20080209292A1 (en) 2007-02-23 2008-08-28 International Business Machines Corporation Circuit for controlling voltage fluctuation in integrated circuit
US20080270953A1 (en) 2007-04-27 2008-10-30 Foreman Eric A Ic chip at-functional-speed testing with process coverage evaluation
US7478356B1 (en) * 2005-09-30 2009-01-13 Xilinx, Inc. Timing driven logic block configuration
US20090037854A1 (en) 2007-08-01 2009-02-05 Bittlestone Clive D Test Method and System for Characterizing and/or Refining an IC Design Cycle
US20090100393A1 (en) * 2007-10-11 2009-04-16 Chandramouli Visweswariah Method and apparatus for incrementally computing criticality and yield gradient
US20090112344A1 (en) * 2007-10-26 2009-04-30 Interuniversitair Microelektronica Centrum Vzw (Imec) Design optimization
US20090115469A1 (en) 2007-11-06 2009-05-07 Jordi Cortadella Variability-Aware Scheme for Asynchronous Circuit Initialization
US20090119629A1 (en) 2007-11-02 2009-05-07 Grise Gary D System and method for generating at-speed structural tests to improve process and environmental parameter space coverage
US7647573B2 (en) 2006-05-26 2010-01-12 Freescale Semiconductor, Inc. Method and device for testing delay paths of an integrated circuit
US7886247B2 (en) * 2008-04-29 2011-02-08 International Business Machines Corporation Method and apparatus for statistical path selection for at-speed testing

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030188246A1 (en) 2002-03-28 2003-10-02 Jeff Rearick Method and apparatus for deriving a bounded set of path delay test patterns covering all transition faults
US7257800B1 (en) * 2003-07-11 2007-08-14 Altera Corporation Method and apparatus for performing logic replication in field programmable gate arrays
US20050065765A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation System and method for statistical timing analysis of digital circuits
US7116142B2 (en) * 2004-12-02 2006-10-03 International Business Machines Corporation Apparatus and method for accurately tuning the speed of an integrated circuit
US7478356B1 (en) * 2005-09-30 2009-01-13 Xilinx, Inc. Timing driven logic block configuration
US20070288822A1 (en) 2006-04-27 2007-12-13 Xijiang Lin Timing-aware test generation and fault simulation
US7647573B2 (en) 2006-05-26 2010-01-12 Freescale Semiconductor, Inc. Method and device for testing delay paths of an integrated circuit
US20080183731A1 (en) 2007-01-26 2008-07-31 Auburn University, An Alabama Corporation Nominal population metric: clustering of nominal application attributes
US20080209292A1 (en) 2007-02-23 2008-08-28 International Business Machines Corporation Circuit for controlling voltage fluctuation in integrated circuit
US20080270953A1 (en) 2007-04-27 2008-10-30 Foreman Eric A Ic chip at-functional-speed testing with process coverage evaluation
US7620921B2 (en) 2007-04-27 2009-11-17 International Business Machines Corporation IC chip at-functional-speed testing with process coverage evaluation
US20090037854A1 (en) 2007-08-01 2009-02-05 Bittlestone Clive D Test Method and System for Characterizing and/or Refining an IC Design Cycle
US20090100393A1 (en) * 2007-10-11 2009-04-16 Chandramouli Visweswariah Method and apparatus for incrementally computing criticality and yield gradient
US20090112344A1 (en) * 2007-10-26 2009-04-30 Interuniversitair Microelektronica Centrum Vzw (Imec) Design optimization
US20090119629A1 (en) 2007-11-02 2009-05-07 Grise Gary D System and method for generating at-speed structural tests to improve process and environmental parameter space coverage
US20090115469A1 (en) 2007-11-06 2009-05-07 Jordi Cortadella Variability-Aware Scheme for Asynchronous Circuit Initialization
US7886247B2 (en) * 2008-04-29 2011-02-08 International Business Machines Corporation Method and apparatus for statistical path selection for at-speed testing

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
"Variation-Aware Performance Verification Using At-Speed Structural Test and Statistical Timing", by Vikram lyengar, Jinjun Xiong, Subbayyan Venkatesan, and Vladimir Zolotov, pp. 405-412, @2007 by IEEE.
Jess et al.; "Statistical Timing for Parametric Yield Prediction of Digital Integrated Circuits"; IEEE; vol. 25, No. 11; Nov. 2006; pp. 2376-2392.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8359565B2 (en) 2009-05-11 2013-01-22 International Business Machines Corporation Method and apparatus for generating test patterns for use in at-speed testing
US20120311515A1 (en) * 2011-06-02 2012-12-06 International Business Machines Corporation Method For Performing A Parallel Static Timing Analysis Using Thread-Specific Sub-Graphs
US8381150B2 (en) * 2011-06-02 2013-02-19 International Business Machines Corporation Method for performing a parallel static timing analysis using thread-specific sub-graphs

Also Published As

Publication number Publication date
US20100162064A1 (en) 2010-06-24
KR20100071889A (en) 2010-06-29

Similar Documents

Publication Publication Date Title
US7912795B2 (en) Automated predictive modeling of business future events based on transformation of modeling variables
CN105580032B (en) For reducing instable method and system when upgrading software
US8645921B2 (en) System and method to determine defect risks in software solutions
US8332822B2 (en) Technologies for code failure proneness estimation
US6691249B1 (en) Probabilistic diagnosis, in particular for embedded and remote applications
US20150067648A1 (en) Preparing an optimized test suite for testing an application under test in single or multiple environments
CN103699541B (en) Interactive videodata for improving nicety of grading excavates
US7971120B2 (en) Method and apparatus for covering a multilayer process space during at-speed testing
US10430536B1 (en) Method and apparatus for yield calculation using statistical timing data that accounts for path and stage delay correlation
US20070150878A1 (en) System and method for detecting redundant subroutine calls
EP4120653A1 (en) Communication network performance and fault analysis using learning models with model interpretation
CN107992410A (en) Software quality monitoring method, device, computer equipment and storage medium
EP3899757A1 (en) Accurate and transparent path prediction using process mining
Song et al. Novel application of deep learning for adaptive testing based on long short-term memory
Huang et al. Towards smarter diagnosis: A learning-based diagnostic outcome previewer
CN106326904A (en) Device and method of acquiring feature ranking model and feature ranking method
US7643972B2 (en) Computer-implemented systems and methods for determining steady-state confidence intervals
US20070100674A1 (en) Device, method and computer program product for determining an importance of multiple business entities
US20130173777A1 (en) Mining Execution Pattern For System Performance Diagnostics
US7146301B2 (en) Efficient production of disjoint multiple traces
US11314561B2 (en) Bottleneck detection for processes
US8340939B2 (en) Method and apparatus for selecting paths for use in at-speed testing
US20040002847A1 (en) Method for creating and displaying signaling eye-plots
Upadhyay et al. MACBSS: modeling and analysis of component based software system
JP5181484B2 (en) Yield monitoring system and yield monitoring method

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION,NEW YO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHI, YIYU;VISWESWARIAH, CHANDRAMOULI;XIONG, JINJUN;AND OTHERS;REEL/FRAME:022371/0198

Effective date: 20090304

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: MENTOR GRAPHICS CORPORATION, OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:029733/0156

Effective date: 20121231

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20230628