JP2001147948A - セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法 - Google Patents

セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法

Info

Publication number
JP2001147948A
JP2001147948A JP32947399A JP32947399A JP2001147948A JP 2001147948 A JP2001147948 A JP 2001147948A JP 32947399 A JP32947399 A JP 32947399A JP 32947399 A JP32947399 A JP 32947399A JP 2001147948 A JP2001147948 A JP 2001147948A
Authority
JP
Japan
Prior art keywords
cell
signal waveform
dependency
delay
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP32947399A
Other languages
English (en)
Inventor
Nobufusa Iwanishi
信房 岩西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP32947399A priority Critical patent/JP2001147948A/ja
Priority to US09/714,095 priority patent/US6718529B1/en
Publication of JP2001147948A publication Critical patent/JP2001147948A/ja
Priority to US10/403,285 priority patent/US7107557B2/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Abstract

(57)【要約】 【課題】 半導体集積回路を構成する各セルの遅延時間
を高精度で且つ高い処理速度で計算する。 【解決手段】 回路シミュレーションステップS100
において、セルのトランジスタレベルのネットリストを
入力し、各セル毎に、入力信号波形の傾き、及びセルの
出力端子に継る負荷容量の大きさを変化させて、前記セ
ルの回路シミュレーションを行い、出力信号波形を得
る。次に、依存度テーブル生成ステップS101におい
て、各セル毎に、入力信号波形の傾き及び負荷容量に対
する出力信号波形の傾きの依存度を算出し、この依存度
を所定の依存度閾値と比較し、その大小に応じて、セル
の入出力端子間の信号伝播遅延を考慮する遅延計算式と
考慮しない遅延計算式とを使い分ける。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路設
計におけるタイミング検証において、高精度にセル及び
配線の遅延計算を行なうための遅延計算方法及びレイア
ウト最適化方法に関する。
【0002】
【従来の技術】今日では、半導体プロセス技術の進歩に
より、ディープサブミクロンと呼ばれる,トランジスタ
サイズが0.5μm未満に及ぶ微細化が進んで来てお
り、また、配線に関しても配線ピッチ及び配線幅が縮小
の方向にある。従って、大規模集積回路内を信号が伝播
する時間(以下、遅延時間と呼ぶ)の計算において、従
来ではあまり問題とされていなかった配線抵抗や隣接配
線の影響を無視できなくなってきている。
【0003】高集積、高機能で高性能な半導体LSI開
発のためには、前記遅延時間を構成するセル間配線の配
線遅延時間と、前記セルのセル遅延時間とを高精度に計
算する手法が、現在提案又は実用化されて来ている。
【0004】以下、レイアウト以降の従来の設計フロー
と、セル遅延及び配線遅延時間計算処理手順について、
図13を参照しながら説明する。尚、本明細書では、セ
ルはインバータやバッファー等の論理単位のほか機能マ
クロブロックをも指す。また、インスタンスは同じ論理
を持ったセルでも、各々を別個のものとして認識するた
めの名前をいう。具体的な例を図14を用いて説明する
と、インスタンス1400とインスタンス1401は共
にバッファーセルではあるが、別個のものとして扱うた
めに、各々インスタンス1400とインスタンス140
1という名前を付けている。
【0005】従来の設計フローとそれに用いられている
遅延計算方法について、図13を用いて説明する。
【0006】同図において、レイアウトステップS13
00では、遅延ライブラリ1300を使って、ネットリ
スト1101に対応したレイアウト1301を生成す
る。前記レイアウトステップS1300では、レイアウ
トツールが実装している遅延計算ツールを用いて、イン
スタンス及び配線の遅延時間を計算しながら、配置配線
を行なうタイミングドリブンレイアウトを行なっている
ことが多い。タイミングドリブンレイアウトでは、前記
遅延計算ツールにより計算されたタイミングに従いレイ
アウトを行なうために、以降の設計フローのタイミング
エラーによる手戻りが少なくなると考えられている。但
し、レイアウトステップS1300でタイミングドリブ
ンレイアウトを行う際に、遅延計算ステップS1302
で使われる遅延計算アルゴリズムとは異なる遅延計算ア
ルゴリズムを用いている場合、各々で遅延計算結果が異
なる。そのため、タイミングドリブンレイアウトを使っ
たレイアウトの問題点として、遅延計算アルゴリズムの
違いによる遅延計算結果の違いに起因した設計手戻りが
発生するということにある。
【0007】しかし、前記遅延計算ツールと、前記レイ
アウトツールが実装している遅延計算ツールとの遅延計
算アルゴリズムが一緒であったとしても、前記遅延計算
アルゴリズムにとって遅延計算が不得手なレイアウト形
状がレイアウトステップS1300で生成された場合に
は、遅延計算精度が悪くなる。
【0008】ここで、前記レイアウト形状とは、レイア
ウト中の配線の形状やセルの駆動能力等を意味し、タイ
ミングドリブンレイアウトでは、その形状から前記セル
の遅延時間、入力信号波形傾き、負荷容量や前記配線の
遅延時間を計算しながらレイアウトを行なっている。
【0009】そのため、レイアウトステップS1300
では、遅延計算ステップS1302で精度良く遅延計算
できるような形状のレイアウトを生成し、遅延計算精度
の悪さによるタイミングエラー及び設計手戻りを削減す
ることが必要であるが、そのような取り組みは、なされ
ていないのが現状である。
【0010】次に、RC抽出ステップS1301では、
前記レイアウト1301を入力として、配線の寄生容量
及び抵抗を抽出し、RC情報1102として生成する。
このRC情報1102は、例えば、DSPFフォーマッ
ト(Standard Parasitic Format: Cadence Design Syst
ems, "Cadence Standard Parasitic Format",Aug.199
3)で表現することができる。
【0011】続いて、遅延計算ステップS1302で
は、RC情報1102と遅延ライブラリ1300とを入
力して、レイアウト1301中のインスタンス及び配線
の遅延時間を計算し、遅延情報1302として出力す
る。
【0012】更に、タイミングシミュレーションステッ
プS1303では、ネットリスト1101と遅延情報1
302とを照らし合わせながら、タイミングシミュレー
ションを行ない、シミュレーション結果1303を出力
する。LSI設計者は、このシミュレーション結果13
5を見て、タイミングエラーが出力された場合には、必
要なステップに戻って、設計をやり直す。
【0013】前記遅延計算ステップS1302で使われ
る遅延計算手法として、幾つかの遅延計算方法が存在す
る。以下、これ等の遅延計算方法について説明する。
【0014】1つの遅延計算方法は、図14に示すよう
な信号波形の伝播を厳密に扱わない遅延計算方法であ
り、他の1つの遅延計算方法は図16に示すような信号
波形の伝播を厳密に扱う遅延計算方法である。
【0015】前記各々の遅延計算方法について説明す
る。図14(a)は遅延計算方法の波形伝播に関する概
念を示す図、同図(b)は遅延計算方法の手順を示す
図、同図(c)は遅延計算方法の分割を示す図である。
【0016】図14(a)に示した遅延計算方法の特徴
は、インスタンス1400に駆動される配線1402入
出力間の信号波形伝播は計算するのに対して、インスタ
ンス1400、1401の各々ではその入出力端子間の
信号波形伝播は考慮しないことである。
【0017】具体的には、図14(b)に示すように、
先ずインスタンス出力信号波形計算ステップで、インス
タンス1400が配線1402とインスタンス1401
とを駆動するのに着目し、インスタンス1400の出力
端子での回路方程式を立て、その回路方程式を解くこと
により、インスタンス1400の出力端子での信号波形
(又は、配線1402の入力信号波形)1404を計算
する。
【0018】次に、配線出力信号波形計算ステップにお
いて、信号波形1404が配線1402に入力された場
合に、配線1402の出力での回路方程式を立て、その
回路方程式を解くことにより、配線1402の出力での
信号波形1405、即ち、インスタンス1401の入力
信号波形を計算する。
【0019】以上の2ステップを全てのインスタンス及
び配線に対して繰り返し実行することにより、全てのイ
ンスタンスの入出力端子での信号波形を計算し、インス
タンスの遅延時間と配線遅延時間とを計算する。尚、図
14において、1403はインスタンス1400の入力
信号波形、1409はインスタンス1406に駆動され
るインスタンスである。
【0020】この遅延計算方法のアルゴリズムの特徴
は、図14(c)に示したように、配線とその配線に継
るインスタンスを1つの分割単位1407、1408に
し、分割単位1407−1408間を独立にしているこ
とにある。従って、信号伝播方向に信号を順番に伝播さ
せて行く必要がなく、遅延計算処理時間が少なくて済む
という有意性がある。
【0021】しかし、前記の方法では、図15に示す課
題が発生する。以下、これを詳述する。
【0022】いま、配線1410の容量及び抵抗の大き
さに対して、配線1402の容量及び抵抗の大きさが、
数倍あるものとする。既述した遅延計算方法では、分割
単位1407、1408は各々独立であるとして計算す
るために、容量及び抵抗が大きい配線1402を駆動す
るインスタンス1400の出力信号波形1404は鈍
り、容量及び抵抗が小さい配線1410を駆動するイン
スタンス1401の出力信号波形1406は急峻に遷移
する信号波形として計算される。
【0023】しかし、実際には信号波形伝播方向に向か
って信号波形が伝播するため、インスタンス1401の
出力信号波形は、図15(b)に示したようなインスタ
ンス1401の出力信号波形1406とは異なり、イン
スタンス1400の鈍った出力信号波形1404の影響
を受け、前記急峻な信号波形よりも大きな鈍りを持つ信
号波形1500となる。
【0024】この点について、実際の回路シミュレーシ
ョンを実行した結果を図17に示す。配線1402,1
410の容量及び抵抗の大きさを各々250f及び50
0Ω、5f及び10Ωとし、インスタンス1400、1
401の論理をインバータとした場合の結果である。同
図において、1700は信号波形伝播を考慮に入れた場
合の回路シミュレーション結果、1701は信号波形伝
播を考慮に入れない場合の回路シミュレーション結果を
示す。1702はインスタンス1401の入力信号波形
である。インスタンス1401の入力端子に継がる配線
1402のRCが大きい同図上側の波形図1700で
は、インスタンス1401の入力信号波形1702が鈍
り、その鈍った信号波形がインスタンス1401を伝播
し、出力信号波形1703として計算される。一方、イ
ンスタンス1401の入力端子に継がる配線1402の
RCが小さい同図下側の波形図1701では、インスタ
ンス1401の入力信号波形1702が急峻であって、
インスタンス1401を伝播して、出力信号波形170
4として計算される。同図上側及び下側の2つの出力信
号波形1703、1704を比較すると、下側の出力信
号波形1704の方が急峻である。この両信号波形の傾
きの差を計算すると、各波形での電源電圧の20%値と
80%値とを直線近似して傾きとした場合には、約40
%にも相当する。このことから、この従来の遅延計算ツ
ールでは、セル2の出力端子に継がる配線が決定される
と、入力信号波形に拘わらず一意に決定されるために、
遅延計算精度が低い問題がある。
【0025】以上のように、前記の遅延計算方法では、
先ず分割単位1407を計算し、その結果を用いて分割
単位1408を計算するという信号伝播方向に沿った計
算方法ではないために、信号伝播方向に向かってパス検
索をする必要がなく、その処理時間は削減され、遅延計
算処理時間が少なくて済むというメリットを持つ一方、
図15(a)で示すような配線の容量及び抵抗の大きさ
が大きく変化するような回路に対しての遅延計算精度が
悪いというデメリットを有する。
【0026】次に、他の1つの遅延計算方法について図
16を用いて説明する。
【0027】図16(a)に示した遅延計算方法の特徴
は、配線1402入出力間の信号波形伝播もインスタン
ス1400,1401の入出力端子間の信号波形伝播も
共に計算するという点にある。
【0028】具体的には、図16(b)に示したよう
に、先ずインスタンス出力信号波形計算ステップにおい
て、インスタンス1400が配線1402とインスタン
ス1401とを駆動するとして、インスタンス1400
の出力端子での回路方程式を立て、インスタンス140
0の出力信号波形1600を計算する。
【0029】図14に示す遅延計算方法とは異なり、こ
の回路方程式にはインスタンス1400の入力信号波形
1403の影響も組み込まれていることにある。
【0030】この入力信号波形1403は、インスタン
ス1400を駆動するインスタンスの駆動能力及び前記
インスタンスの入力信号波形と、インスタンス1400
の入力端子容量と、前記入力端子に継る配線の容量及び
抵抗成分とから計算された信号波形である。
【0031】次に、配線出力信号波形計算ステップにお
いて、出力信号波形1600が配線1402を伝播した
時の配線1402の出力端での回路方程式を解き、イン
スタンス1401の入力信号波形1601を計算する。
【0032】以上の2ステップを全てのインスタンス及
び配線に対して繰り返し実行することにより、全てのイ
ンスタンスの入出力端子での信号波形を計算することが
できる。この計算された信号波形から、インスタンスの
遅延時間と配線遅延時間とを計算できる。尚、図16に
おいて、1602はインスタンス1401の出力信号波
形である。
【0033】この遅延計算方法のメリットとしては、図
16(c)に示したように、配線とその配線に継るイン
スタンスとを1つの分割単位1407,1408に分割
した時に、分割単位1407−1408間に依存性を持
たせていることにある。
【0034】つまり、分割単位1408を計算する時に
は、その前に信号伝播順序で前となる分割単位1407
を計算し、その結果を用いて計算を行っている。
【0035】そのため、信号伝播順序を追って、遅延計
算を実行することになるので、遅延計算処理の他に、信
号伝播順序を決定し、その順序に従って遅延計算に必要
な情報を伝播させていく必要があるので、遅延計算処理
時間を余計に要するデメリットがあるのに対して、遅延
計算精度は非常に良いものが得られるメリットがある。
【0036】以上説明したように遅延計算ステップS1
302で用いられる具体的な遅延計算方法としては、幾
つかの方法があるが、大別すると、上述した2つの遅延
計算方法の何れか一方のみが使用され、双方の遅延計算
方法が共に使用されることはない。
【0037】但し、LSI全体の遅延計算には、図14
に示す遅延計算方法を用い、非常にタイミングが厳しい
クロックやクリティカルパスに対して、それらの該当部
分についての遅延計算に必要な情報を抽出し、図16で
示す遅延計算方法を用いて再遅延計算を行なうという手
順はある。
【0038】
【発明が解決しようとする課題】既述したように、レイ
アウトステップでは、以降の遅延計算ステップで用いら
れている遅延計算アルゴリズムで高精度に遅延計算でき
るレイアウト形状が生成されることは保証されない。こ
のため、遅延計算アルゴリズムにとって遅延計算が不得
手なレイアウト形状が生成されることがあり、その結
果、そのレイアウトに対する遅延計算精度は悪くなり、
設計手戻りが発生するという課題があった。
【0039】また、図14に示す遅延計算方法には、配
線の容量及び抵抗が大きく変化するような構成を持つ回
路に対する遅延計算精度が悪くなるという課題があり、
一方、図16に示す遅延計算方法には、信号伝播方向に
従いパスの検索が必要となるために、遅延計算処理時間
が大きくなるという課題を有する。しかし、現在の設計
フローでは、何れか一方の遅延計算方法のみを使う場合
が多く、各々の特徴を生かしきった有効的な使い方はさ
れていない。しかも、従来では、前記2つの遅延計算方
法をレイアウトの適材適所に有効的に使うための指標が
ない。
【0040】
【課題を解決するための手段】前記課題を解決するため
に、本発明は、セルの入出力端子間の信号伝播を詳細に
扱う遅延計算方法と扱わない遅延計算方法との使い分け
る指標を与えるものである。
【0041】具体的に、請求項1記載の発明のセルの遅
延時間計算方法は、複数のセルを配線で接続して構成さ
れる半導体集積回路において、前記各セルの遅延時間を
計算するセルの遅延時間計算方法であって、前記各セル
のトランジスタレベルのネットリストを入力し、前記各
セルの入力信号波形の傾き及び前記各セルの出力端子に
継る負荷容量の大きさの少なくとも一方を変化させて、
前記各セルの回路シミュレーションを行い、各シミュレ
ーション条件下での各セルの出力信号波形を得る回路シ
ミュレーションステップと、前記各シミュレーション条
件、及び前記回路シミュレーションステップで得られた
各セルの出力信号波形に基づいて、前記入力信号波形の
傾き及び前記負荷容量の少なくとも一方に対する前記各
セルの出力信号波形の傾きの依存度を算出する依存度算
出ステップとを有し、前記依存度算出ステップで計算さ
れた依存度に基づいて、セルの入出力端子間の信号波形
伝播を考慮に入れた遅延計算式と考慮に入れない遅延計
算式とを使い分けて、前記各セルの遅延時間を計算する
ことを特徴とする。
【0042】請求項2記載の発明は、前記請求項1記載
のセルの遅延時間計算方法において、前記依存度算出ス
テップでは、前記各セル毎に、入力信号波形の傾き及び
負荷容量と、出力信号波形の傾きとの関係を相関テーブ
ルとして表現し、前記相関テーブルから、前記入力信号
波形の傾き及び負荷容量に対する出力信号波形の傾きの
依存度が所定の依存度閾値よりも大きい領域を依存度大
領域、前記依存度閾値よりも小さい領域を依存度小領域
として分類し、前記分類した相関テーブルを依存度テー
ブルとして持つことを特徴とする。
【0043】請求項3記載の発明は、前記請求項2記載
のセルの遅延時間計算方法において、前記依存度テーブ
ルは、前記各セルの遅延ライブラリに格納されることを
特徴とする。
【0044】請求項4記載の発明は、前記請求項2記載
のセルの遅延時間計算方法において、前記依存度算出ス
テップは、前記回路シミュレーションステップのシミュ
レーション結果を入力して、前記各セルの出力信号波形
群を抽出する出力信号波形抽出ステップと、前記出力信
号波形群を入力して、前記出力信号波形群に属する全て
の信号波形を直線近似した傾きを計算し、出力信号波形
傾き群を生成する出力信号波形傾き計算ステップと、前
記各セル毎に、入力信号波形の傾きと、出力端子に接続
される負荷容量とをインデックスに持つテーブルを生成
するテーブル生成ステップと、前記出力信号波形傾き群
の各傾きを前記テーブルに埋め込んで、前記相関テーブ
ルとする相関テーブル生成ステップと、前記相関テーブ
ル内の各出力信号波形の傾きを前記依存度閾値に相当す
る所定の値の傾きと比較して、前記相関テーブルを依存
度大領域と依存度小領域とに分類する分類ステップとを
持つことを特徴とする。
【0045】請求項5記載の発明は、前記請求項2記載
のセルの遅延時間計算方法において、複数のセルを含む
半導体集積回路のレイアウト結果から寄生容量及び抵抗
を抽出したゲートレベルのネットリストと、前記複数の
セルの遅延時間を格納する遅延ライブラリとを入力し
て、前記複数のセル及び配線の遅延時間と前記各セルの
入力信号波形の傾き及び前記各セルの出力端子に継る負
荷容量とを計算し、入出力端子情報とする第1の遅延計
算ステップと、前記ネットリスト、前記遅延ライブラ
リ、及び前記入出力端子情報とを入力して、前記各セル
毎に入力信号波形の傾き及び負荷容量の大きさに対する
出力信号波形の傾きの依存度を計算し、前記各セル毎に
前記依存度が前記依存度テーブルの依存度大領域又は依
存度小領域の何れに属するかを判定する依存度判定ステ
ップとを有し、前記依存度が依存度小領域に属するセル
では、セルの入出力端子間の信号波形伝播を考慮に入れ
ない第1の遅延計算式を用いて遅延時間を計算し、前記
依存度が依存度大領域に属するセルでは、セルの入出力
端子間の信号波形伝播を考慮に入れた第2の遅延計算式
を用いて遅延時間を計算することを特徴とする。
【0046】請求項6記載の発明は、前記請求項5記載
のセルの遅延時間計算方法において、前記依存度が前記
依存度小領域に属するセル、このセルの入出力端子に継
る配線の容量及び抵抗情報、並びに前記配線に継る全て
のセルの接続情報を第1のネットリストとして生成し、
一方、前記依存度が前記依存度大領域に属するセル、こ
のセルの入出力端子に継る配線の容量及び抵抗情報、並
びに前記配線に継る全てのセルの接続情報を第2のネッ
トリストとして生成するネットリスト分割ステップと、
前記第1のネットリストに対しては前記第1の遅延計算
式を用いて第1の遅延計算結果を計算し、前記第2のネ
ットリストに対しては前記第2の遅延計算式を用いて第
2の遅延計算結果を計算する第2の遅延計算ステップ
と、前記第1の遅延計算結果及び前記第2の遅延計算結
果を合わせて1つの遅延計算結果を生成する遅延情報合
成ステップとを持つことを特徴とする。
【0047】請求項7記載の発明は、前記請求項5記載
のセルの遅延時間計算方法において、前記依存度判定ス
テップは、前記遅延ライブラリ、前記入出力端子情報、
及び前記ネットリストを入力して、前記ネットリストに
含まれる各セル毎に、前記入出力端子情報から入力信号
波形の傾き及び負荷容量を抜き出し、この入力信号波形
の傾き及び負荷容量に対応する出力信号波形の傾きの依
存度を前記依存度テーブルと照合し、前記依存度が依存
度大領域に属するセルを依存度大インスタンス情報とし
て登録する依存度大インスタンス抽出ステップと、前記
依存度大インスタンス情報を入力し、前記ネットリスト
と前記依存度大インスタンス情報との双方に含まれるセ
ルに対して、前記第1のネットリストに属すべき情報と
して波形伝播フラグを設定する第1の波形伝播フラグ設
定ステップとを持つことを特徴とする。
【0048】請求項8記載の発明は、前記請求項7記載
のセルの遅延時間計算方法において、前記ネットリスト
分割ステップは、前記ネットリストに前記第1の波形伝
播フラグを加えて第1のフラグ付きネットリストとする
波形伝播フラグ付加ネットリスト生成ステップと、前記
第1の波形伝播フラグが設定されたセルに継がるセルに
対して第2の波形伝播フラグを設定し、前記第1の波形
伝播フラグ付加ネットリストに前記第2の波形伝播フラ
グを加えて第2の波形伝播フラグ付きネットリストとす
る第2の波形伝播フラグ設定ステップと、前記第2の波
形伝播フラグ付きネットリストを入力して、前記第1又
は第2の波形伝播フラグが設定されていないセル及びこ
のセルに継る配線を抽出して第1のネットリストとし、
前記第1又は第2の波形伝播フラグが設定されているセ
ル及びこのセルに継る配線を抽出して第2のネットリス
トとする分割ステップとを持つことを特徴とする。
【0049】請求項9記載の発明は、前記請求項8記載
のセルの遅延時間計算方法において、前記第2の波形伝
播フラグ設定ステップでは、所定の段数の伝播段数閾値
を入力し、前記第1の波形伝播フラグが設定されたセル
に継がるセルとして、前記伝播段数閾値の段数までのセ
ルを選択し、この選択したセルに対して前記第2の波形
伝播フラグを設定することを特徴とする。
【0050】請求項10記載の発明の半導体集積回路の
レイアウト最適化方法は、複数のセルを配線で接続して
構成される半導体集積回路のレイアウトを最適化する半
導体集積回路のレイアウト最適化方法であって、前記複
数のセルのネットリスト及び遅延ライブラリを入力し
て、前記複数のセルの配置配線情報を生成し、前記配置
配線情報の寄生素子のRC情報を生成するレイアウトス
テップと、前記RC情報及び前記遅延ライブラリを入力
し、前記各セル毎に入力信号波形の傾きとセルの出力端
子に継がる負荷容量とを計算し、入出力端子情報とする
入出力端子情報抽出ステップと、前記入出力端子情報及
び前記遅延ライブラリを入力して、前記各セル毎に入力
信号波形の傾き及び前記負荷容量に対する出力信号波形
の傾きの依存度を計算し、前記依存度が所定の依存度閾
値よりも大きいセルをインスタンス情報として登録する
インスタンス抽出ステップと、前記インスタンス情報と
して登録されたセルについて、前記依存度が前記依存度
閾値よりも小さくなるように再レイアウトさせる制約ス
テップとを有することを特徴とする。
【0051】請求項11記載の発明は、前記請求項10
記載の半導体集積回路のレイアウト最適化方法におい
て、前記制約ステップは、前記インスタンス情報に登録
されたセルの再レイアウトを行なうためのレイアウト制
約を生成し、前記レイアウトステップに戻って、前記生
成されたレイアウト制約を満たすようにセルの再レイア
ウトを行うことを特徴とする。
【0052】以上により、請求項1ないし請求項9記載
の発明のセルの遅延時間計算方法では、セルの入力信号
波形の傾き及び負荷容量に対する前記セルの出力信号波
形の依存度、即ち、セルの入出力端子間の信号波形伝播
の依存度を示すパラメータが計算される。そして、この
依存度に基づいて、セルの入出力端子間の信号波形伝播
を扱う遅延計算方法を用いて遅延計算をするのが良い
か、又はセルの入出力端子間の信号波形伝播を扱わない
遅延計算方法を用いて遅延計算をするのが良いのかが判
断されて、セルの入力信号波形の傾き及びセルの出力端
子に継がる負荷容量に応じて信号の波形伝播を厳密に考
慮する必要がある箇所に対しては、高精度な遅延計算ア
ルゴリズムを搭載した遅延計算ツールを適用して、高精
度に遅延時間が計算され、一方、信号の波形伝播を厳密
に考慮しなくてもよい箇所に対しては、精度は前記遅延
計算ツールに劣るが高速な遅延計算ツールを適用するこ
とができる。よって、LSI全体の遅延計算において、
高速で且つ高精度な遅延計算方法を提供することができ
る。
【0053】また、請求項10及び請求項11記載の発
明の半導体集積回路のレイアウト最適化方法では、セル
の入力信号波形の傾き及び負荷容量に対する前記セルの
出力信号波形の依存度を計算し、この依存度が所定の依
存度閾値よりも大きい場合には、その依存度が前記依存
度閾値よりも小さくなるように前記セルが再レイアウト
される。従って、セルの入出力端子間の信号波形伝播を
扱わないアルゴリズムを有する遅延計算ツールのみを用
いて、高精度に遅延時間を計算することが可能である。
【0054】
【発明の実施の形態】以下、本発明の実施の形態につい
て図面を参照しながら説明する。
【0055】(第1の実施の形態)図1は本発明の第1
の実施の形態のセルの遅延計算方法において、遅延ライ
ブラリの生成方法を示す図である。ここでは、図3に例
示するセルについて、その遅延ライブラリを生成する方
法を具体的に説明する。先ず、図3において、300は
セル(インスタンス)、301はインスタンス300に
入力される入力信号波形群、302はインスタンス30
0の出力端子に接続される負荷容量群、303は出力信
号波形群303に属する1つの出力信号波形、304は
直線近似に用いられる電圧レベル、305は出力信号波
形303を直線近似した直線近似波形である。
【0056】最初に、回路シミュレーションステップS
100では、図3のセル300のトランジスタレベルの
ネットリスト100とシミュレーション条件101とを
入力して、「SPICE」等の回路シミュレータを用い
て回路シミュレーションを行ない、そのシミュレーショ
ン結果102を出力する。ここで、シミュレーション条
件101は、複数の傾きの信号波形の集合である入力信
号波形群301、及び複数の容量値の集合である負荷容
量群302である。例えば、入力信号波形群301とし
て、1ns,2ns,3ns,4nsの傾きを持つ4個
の信号波形の集合を用いる。更に、負荷容量群302と
して、10fF,50fF,200fF,400fFの
容量値を持つ4個の負荷容量の集合を使用する。入力信
号波形群301と負荷容量群302の個数は定められた
ものではなく、遅延ライブラリ設計者が最適と思われる
個数を、精度、回路シミュレーション処理時間などを考
慮して決定する。
【0057】そして、セル300の入力端子に、入力信
号波形群301から1つの信号波形を選択し、入力しす
ると共に、セル300の出力端子に負荷容量群302か
ら1つの負荷容量を選択し、接続して、回路シミュレー
タを用いてセル300の回路シミュレーションを行な
い、セル300の出力端子における出力信号波形、駆動
能力、電流特性等を計算する。入力信号波形群301と
負荷容量群302に含まれる全ての入力信号波形と負荷
容量との組合せについて、前記回路シミュレーションを
行ない、前記出力信号波形、駆動能力、電流特性を計算
し、それ等をシミュレーション結果102として出力す
る。
【0058】次に、図1の依存度テーブル生成ステップ
(依存度算出ステップ)S101では、シミュレーショ
ン条件101とシミュレーション結果102とを入力し
て、セル300の入力信号波形傾き及び負荷容量と出力
信号波形の傾きとの関係を依存度テーブル103として
出力する。この依存度テーブル生成ステップS101に
ついて、図2を用いて更に詳細に説明する。
【0059】図2の依存度テーブル生成ステップS10
1において、出力信号波形抽出ステップS200では、
シミュレーション結果102から、セル300の出力端
子における出力信号波形の集合である出力信号波形群2
00を抜き出す。この出力信号波形群200に属する信
号波形は、一般的に非線形な信号波形である。
【0060】次に、出力信号波形傾き計算ステップS2
01では、出力信号波形群200を入力して、出力信号
波形群200に属する信号波形全てを直線近似し、その
傾きを計算し、それ等を出力信号波形傾き群201とし
て出力する。直線近似の方法は、信号波形303上の任
意の2つの電圧レベル304を決め、2つの電圧レベル
304と信号波形303とが交差する点を直線で結ん
で、直線近似波形305を生成し、その傾きを計算す
る。ここで、任意の2つの電圧レベル304は、遅延ラ
イブラリ設計者により定められる電圧レベルであって、
各々の電圧レベルをV_A,V_Bとした時に、V_A
≠V_Bが成り立つ関係を満たすものとする。
【0061】続いて、テーブル生成ステップS202で
は、シミュレーション条件101を入力して、入力信号
波形群301に属する信号波形の傾きと、負荷容量群3
02に属する負荷容量の大きさとを各々インデックスと
したテーブル202を生成する。このテーブル202
は、例えば、入力信号波形群301が傾き1ns,2n
s,3ns,4nsの4種類であり、負荷容量群302
が5fF,10fF,100fFの3種類であれば、4
x3のテーブルとなる。
【0062】図3は、入力信号波形の傾きがslew1,sl
ew2,slew3,slew4の4種類で、且つ負荷容量の大き
さがload1,load2,load3,load4の4種類の4x4
のテーブル202を示している。ここで、テーブル20
2には、インデックスだけが記載されており、例えば、
入力信号波形傾きがslew1で負荷容量がload1の場合の
出力信号波形傾きは、未だ記載されていない。
【0063】更に、図2の入出力信号波形相関テーブル
生成ステップ(相関テーブル生成ステップ)S203で
は、テーブル202と出力信号波形傾き群201とを入
力して、テーブル202に出力信号波形傾き群201の
値を入力し、図4(a)に示すような入出力信号波形相
関テーブル203を生成する。この値の入力方法は、出
力信号波形傾き群201から、テーブル202に記載さ
れた入力信号波形傾き及び負荷容量に対応する出力信号
波形傾きを抽出し、テーブル202に記載する。
【0064】続いて、依存度計算ステップ(分類ステッ
プ)S204では、入出力信号波形相関テーブル203
と依存度閾値204とを入力して、入力信号波形傾きと
負荷容量の変化とに対する出力信号波形の変化量を依存
度閾値204と比較することにより、依存度テーブル1
03を生成する。この依存度計算ステップS204につ
いて詳細に説明すると、次の通りである。
【0065】先ず、入出力信号波形相関テーブル203
から、図4(b),(c)で示す2つの依存度テーブル
400,401を作成する。一方の依存度テーブル40
0は、入出力信号波形相関テーブル203に対して、負
荷容量を変化させた時の出力信号波形傾きの変化量を示
すテーブルである。前記出力信号波形の変化量は、下記
の式1を用いて計算される。
【0066】 val_a_bc=slew_ac−slew_ab (式1) 式1中の変数は、入出力信号波形相関テーブル203、
テーブル400に記載された値である。
【0067】また、他方の依存度テーブル401は、入
出力信号波形相関テーブル203に対して、入力信号波
形傾きを変化させた場合の出力信号波形の傾きの変化量
を示すテーブルである。前記出力信号波形の変化量は、
以下の式2を用いて計算される。
【0068】 val_ab_c=slew_bc−slew_ac (式2) 式2中の変数は、前記式1と同様である。
【0069】次に、テーブル400,401の値val
_a_bc、val_ab_cを各々比較し、セル30
0に入力される入力信号波形傾き又は負荷容量値に対す
るセル300の出力信号波形の変化量から、その変化量
が、依存度閾値204よりも小さい部分を依存度小の部
分とし、依存度閾値204より大きい部分を依存度大と
して分類し、各々の部分間に境界線を設定する。依存度
テーブル400,401では、前記依存度大領域は、領
域402,403で表されている。
【0070】依存度テーブルの具体的な例を図5に示
す。同図(a)及び(b)の依存度テーブル500,5
01は、セル300をインバータセルとし、入力信号波
形の傾きを1ns,2ns,3ns,4nsとし、負荷
容量を10fF,50fF,100fF,300fFと
した場合の回路シミュレーション実行結果から作成した
依存テーブルである。依存度テーブル500は、負荷容
量値を変化させた場合の実測値の依存度テーブルの結果
を示す。この依存度テーブル500では、負荷容量値が
小さい領域においては、入力信号波形の傾きが1ns増
加しても、出力信号波形の傾きは約1/3の0.3ns
しか増加しない。しかし、負荷容量の大きい領域におい
ては、入力信号波形の傾き1ns増加に対し、出力信号
波形傾きも同程度の1ns増加している。ここで、依存
度閾値204に、例えば入力信号波形変化分の50%、
つまり0.5nsの値が設定されていた場合には、前記
負荷容量が小さい領域においては依存度小という判定が
され、前記負荷容量が大きい領域においては依存度大と
いう判定がされる。
【0071】また同様に、テーブル501は、入力信号
波形の傾きを変化させた場合の実測値の依存度テーブル
を示し、この依存度テーブル501では、負荷容量が大
きく且つ入力信号波形の傾きの絶対値が小さい領域や、
負荷容量が小さく入力信号波形の傾きの絶対値が大きい
領域では、入力信号波形の傾きの変化に対して、出力信
号波形の傾きの変化量が少なくなっている。逆に、負荷
容量が小さく且つ入力信号波形傾きの絶対値が小さい領
域や、負荷容量が大きく入力信号波形の傾きの絶対値が
大きい領域では、入力信号波形の傾きの変化に対して出
力信号波形の傾きの変化量が多くなっている。ここで、
前記テーブル500の場合と同様に、依存度閾値204
に、例えば、入力信号波形変化分の20%、つまり0.
2nsという値が設定されていた場合には、負荷容量が
大きく入力信号波形傾きの絶対値が小さい領域や、負荷
容量が小さく入力信号波形傾きの絶対値が大きい領域で
は、依存度小の領域と判定され、負荷容量が小さく入力
信号波形傾きの絶対値が小さい領域や、負荷容量が大き
く入力信号波形傾きの絶対値が大きい領域では、依存度
大の領域として判定される。尚、テーブル500内の値
が全て依存度閾値204よりも小さい場合には、全ての
領域が依存度小の領域として判定され、またその逆に、
テーブル500内の値が全て依存度閾値204よりも大
きい場合には、全ての領域が依存度大の領域として判定
されることになる。
【0072】以上から、テーブル500では領域502
で表される領域が依存度大領域であり、それ以外が依存
度小領域と判定され、同様に、テーブル501では領域
503で表される領域が依存度大領域で、それ以外が依
存度小領域と判定される。但し、依存度閾値204の値
は、遅延ライブラリ設計者が、予め定めておく値であ
る。また、依存度閾値204の決定方法において、セル
毎に個別に設定する方法でも良いし、また、遅延ライブ
ラリを作成する全てのセルに対して共通の依存度閾値を
持って、領域分割を行なってもよい。
【0073】尚、図4(b)及び(c)では、入力信号
波形の傾きを変化させた場合の出力信号波形の傾きの変
化量を求め、また負荷容量を変化させた場合の出力信号
波形の傾きの変化量を求めて、依存度テーブル400、
401を作成したが、その他、入力レーション条件とし
ての入力信号波形の傾き、及び負荷容量の大きさを多く
設定し、既述したように入力信号波形の傾きや負荷容量
の変化量を閾値と比較して領域分割を行うのではなく
て、出力信号波形の傾きそのものを所定の閾値と比較し
て、依存度テーブルを作成しても良いのは勿論である。
更に、入力信号波形の傾き及び負荷容量の何れか一方を
固定して、依存度テーブルを作成しても良いのは勿論で
ある。
【0074】次に、図1に戻って、遅延ライブラリ生成
ステップS102では、依存度テーブル103とシミュ
レーション結果102とを入力して、セル300の遅延
ライブラリ104を生成する。これは、シミュレーショ
ン結果102から駆動能力や電流特性を抽出し、それ等
の値を遅延計算ツール用の遅延ライブラリフォーマット
に変換し、その変換された遅延ライブラリと依存度テー
ブル103を遅延ライブラリ104として出力する。
【0075】以上説明したように、入出力信号波形間の
相関関係を依存度テーブルとして表し、この依存度テー
ブルを遅延ライブラリに組み込むことにより、インスタ
ンスの入力信号波形の傾き及び負荷容量に対するインス
タンスの出力信号波形の依存度を計算することができ
る。この依存度から、セル入出力間の信号波形伝播を扱
う遅延計算方法で遅延計算をするのが良いか、又はセル
入出力間の信号波形伝播を扱わない遅延計算方法で遅延
計算をするのが良いのかの判断ができるようになる。
【0076】以下、前記依存度を用いた遅延計算方法を
具体的に説明する。
【0077】図6は本発明の遅延計算方法を示す図であ
る。同図において、最初に、第1の遅延計算ステップS
600では、ネットリスト600と遅延ライブラリ10
4とを入力して、ネットリスト600に含まれる全ての
インスタンスの入出力端子情報601を計算する。ネッ
トリスト600は、レイアウト結果から寄生容量及び抵
抗を抽出したものであって、DSPFフォーマットで表
現することができる。遅延ライブラリ104は、前記第
1の実施の形態で作成された遅延ライブラリである。前
記第1の遅延計算ステップS600では、ネットリスト
600に含まれるインスタンスの入力端子に入力される
入力信号波形の傾きと、出力端子に継る総容量と総抵抗
値、又は実効容量を計算し、これ等に関する情報が前記
入出力端子情報601に含まれる。
【0078】具体例としては、例えば図9(a)に示す
ように、各々のインスタンスinstance1、instance2、
instance3、instance4、instance5、各々の入力信号
波形の傾きslew1,slew2,slew3,slew4,slew5、
及び各々の負荷容量load1,load2,load3,load4が
入出力端子情報601として出力されている。
【0079】また、第1の遅延計算ステップで使われる
遅延計算方法には、任意の遅延計算ツールを用いること
ができる。但し、前記したように、ネットリスト600
に含まれるインスタンスの入力信号波形の傾き及び負荷
容量を出力することができる遅延計算ツールである必要
がある。
【0080】次に、依存度判定ステップS601では、
入出力端子情報601と遅延ライブラリ104とネット
リスト600とを入力して、ネットリスト600に含ま
れる全てのインスタンスに対し、入出力端子情報601
と遅延ライブラリ104とを照らし合わせて依存度を判
定し、波形伝播フラグ602を出力する。この依存度判
定ステップS601の詳細を図7に示す。
【0081】図7の依存度判定ステップS601は、依
存度大インスタンス抽出ステップS700と第1の波形
伝播フラグ設定ステップS701との2つのステップで
構成されている。依存度大インスタンス抽出ステップS
700では、ネットリスト600と入出力端子情報60
1と遅延ライブラリ104を入力し、入出力端子情報6
01からインスタンス毎に入力信号波形の傾きと負荷容
量を抽出し、遅延ライブラリ104の依存度テーブルと
照らし合わせ、前記入力信号波形の傾きと負荷容量とが
前記依存度テーブルの依存度大領域に属している場合に
は、そのインスタンスを依存度大インスタンス情報70
0として出力する。
【0082】以上のように、ネットリスト600に含ま
れるインスタンスのうち、前記依存度テーブルの依存度
大領域で動作するインスタンスを全て抜き出す。
【0083】次に、第1の波形伝播フラグ設定ステップ
S701では、依存度大インスタンス情報700を参照
して、依存度大の領域で動作しているインスタンスに波
形伝播フラグを設定し、また、依存度大インスタンス情
報700に記載されていないインスタンスに関しては、
波形伝播フラグを設定せずに、全てのインスタンスのフ
ラグ設定状況を波形伝播フラグ602として出力する。
例えば、図9(b)の例では、instance2の入力信号波
形の傾きと負荷容量値とについて、instance2の遅延テ
ーブル内の依存度テーブルを参照した結果、依存度大領
域での動作であると判断されたので、instance2に対し
波形伝播フラグが設定されている。その他のインスタン
スinstance1,instance3−5については、波形伝播フ
ラグは設定されてない。
【0084】次に、ネットリスト分割ステップS602
では、ネットリスト600と波形伝播フラグ602とを
入力して、インスタンスの入出力端子間の波形伝播を考
慮して遅延計算を行なうインスタンス及び配線の集まり
と、それ以外に分類し、各々第2のネットリスト604
と第1のネットリスト603として出力する。このネッ
トリスト分割ステップS602の詳細を図8に示す。
【0085】図8のネットリスト分割ステップS602
は、波形伝播フラグ付加ネットリスト生成ステップS8
00と、第2の波形伝播フラグ設定ステップS801
と、分割ステップS802とにより、構成される。波形
伝播フラグ602には、図9(b)に示したように各イ
ンスタンスの波形伝播フラグの情報が格納されている。
つまり、instance名とそのインスタンスの波形伝播フラ
グの設定状況とが記載されている。但し、この情報に
は、各々のインスタンス間の接続情報は含まれていな
い。
【0086】ここで、以降の説明を簡単にするために、
ネットリスト600に記載されている回路は、instance
1とinstance2とが接続され、instance2とinstance3
とが接続され、instance3とinstance4とが接続され、
instance4とinstance5とが接続された回路であるとし
て説明する。
【0087】波形伝播フラグ付加ネットリスト生成ステ
ップS800では、ネットリスト600の全てのインス
タンスについて、波形伝播フラグ602を参照し、波形
伝播フラグが設定されているか否かを調べ、もし波形伝
播フラグが設定されているインスタンスがあれば、ネッ
トリスト600に対し前記インスタンスの波形伝播フラ
グを設定し、そのネットリストを第1のフラグ付きネッ
トリスト800として出力する。
【0088】次に、第2の波形伝播フラグ設定ステップ
S801では、第1のフラグ付きネットリスト800と
伝播段数閾値802を入力として、波形伝播フラグが設
定されているインスタンスが駆動するインスタンスを”
1”として、伝播段数閾値802に設定されている段数
のインスタンスまでの波形伝播フラグを設定する。具体
的には、波形伝播フラグを設定する順番に信号の伝播方
向で、例えば図9の例では、インスタンス1、2、3、
4、5の数字の順番に、波形伝播フラグを設定するかど
うかを判定する。但し、伝播段数閾値802に設定され
ている数字は自然数である。
【0089】図10(a)は、伝播段数閾値802を”
1”に設定した例であり、波形伝播フラグが設定されて
いるインスタンスinstance2が駆動するインスタンスin
stance3の波形伝播フラグを設定し、第2のフラグ付き
ネットリスト801として出力する。
【0090】ここでは、伝播段数閾値802を”1”に
設定した例を説明したが、信号波形が信号伝播方向に向
かってどの程度まで信号波形伝播の影響が出るかに応じ
て変更することが必要である。
【0091】この伝播段数閾値802の設定値は、予め
決めておく必要があり、インスタンスの論理や駆動能力
に応じて別個の値を設定してもよいし、又は一律の値を
設定してもよい。
【0092】次に、分割ステップS802では、第2の
フラグ付きネットリスト801を入力して、波形伝播フ
ラグが設定されていないインスタンスに関するネットリ
スト部分を第1のネットリスト603として抽出し、波
形伝播フラグが設定されているインスタンスに関するネ
ットリスト部分を第2のネットリスト604として抽出
する。
【0093】図10(b)の例では、2個のインスタン
スinstance2,instance3に対して波形伝播フラグが設
定されているので、第2のネットリスト604には、in
stance1からinstance2への配線の容量、抵抗情報、in
stance2からinstance3への容量、抵抗配線情報、inst
ance3からinstance4への容量、抵抗配線情報と、inst
ance1,2,3,4の接続情報が記載されている。
【0094】ここで、波形伝播フラグが設定されていな
いinstance1、instance4の情報も記載されるのは、in
stance1はinstance2の入力信号波形を計算するため、
instance4はinstance3が駆動する負荷容量を計算する
ために必要であるためである。
【0095】第1のネットリスト603には、instance
1からinstance2の配線の容量、抵抗情報、instance3
からinstance4の配線の容量、抵抗情報、instance4か
らinstance5の配線の容量、抵抗情報、instance1,
2,3,4,5の接続情報が記載されている。
【0096】第1のネットリスト603と第2のネット
リスト604との間で、instance1からinstance2への
配線情報や、instance3からinstance4への配線情報等
の重複する部分については、以降の遅延時間計算におい
て、遅延情報も重複して計算される。その場合、instan
ce3からinstance4の配線の遅延時間には、第2のネッ
トリスト604を用いて計算された遅延計算結果を用い
る。その理由は、第2のネットリスト604を用いた遅
延計算では、instance3からinstance4の配線の遅延時
間に、instance3の入力信号波形の影響が考慮されてい
るために、第1のネットリスト603を用いて計算され
たinstance3からinstance4の配線の遅延時間よりも精
度が良いためである。一方、前記配線以外のinstance1
からinstance2の配線の遅延時間等は、何れのネットリ
ストを用いた遅延計算結果でも良い。
【0097】以上のようにして分割された第1のネット
リスト603と第2のネットリスト604とを入力し
て、第2の遅延計算ステップS603において遅延計算
を行ない、第1の遅延計算結果605及び第2の遅延計
算結果606を出力する。
【0098】第2の遅延計算ステップS603には、従
来の技術に記載されている2つの遅延計算ツールが実装
されている。各々の遅延計算ツールの特徴を、以下に第
1の遅延計算ツール、第2の遅延計算ツールとして示
す。第1の遅延計算ツールは、配線の入出力間の信号波
形伝播は考慮するが、インスタンスの入出力端子間で信
号波形の伝播を考慮しない遅延計算ツールであって、遅
延処理時間が高速である。一方、第2の遅延計算ツール
は、配線の入出力間、インスタンスの入出力端子間共に
信号波形伝播を扱うことができる遅延計算ツールであっ
て、遅延計算精度は非常に良いが、処理時間が低速であ
る。これ等の遅延計算ツールは、市販されている任意の
遅延計算ツール又は回路シミュレータでよい。
【0099】第2の遅延計算ステップS603では、第
1のネットリスト603に対して、前記第1の遅延計算
ツールを使って遅延時間を計算し、第1の遅延計算結果
605を生成する。また、第2のネットリスト604に
対しては、前記第2の遅延計算ツールを使って遅延時間
を計算し、第2の遅延計算結果606を生成する。
【0100】次に、遅延情報合成ステップS604で
は、第1の遅延計算結果605と第2の遅延計算結果6
06とを入力して、1つの遅延計算結果607に合成す
る。
【0101】遅延情報合成ステップS604では、第1
の遅延計算結果605と第2の遅延計算結果606とを
足し合わせるが、前記ネットリスト分割ステップS60
2で説明したように、重複する遅延情報については第2
の遅延計算結果606として得られた遅延情報を優先す
る。
【0102】以上の手順により遅延計算を行なうことに
より、インスタンスの入力信号波形の傾き及び負荷容量
により、信号伝播を厳密に考慮する必要がある箇所に対
しては、高精度な遅延計算アルゴリズムを搭載した遅延
計算ツールを適用し、一方、高精度に遅延を計算せずに
信号伝播を厳密に考慮しなくてもよい箇所に対しては、
精度は前記遅延計算ツールに劣るが高速な遅延計算ツー
ルを適用することにより、LSI全体の遅延計算におい
て、高速で且つ高精度な遅延計算方法を提供することが
できる。
【0103】(第2の実施の形態)次に、本発明の第2
の実施の形態について図面を参照しながら説明する。
【0104】図11は本実施の形態の半導体集積回路の
レイアウト最適化方法を示す。本実施の形態は、タイミ
ングドリブンレイアウトを行なう際に、インスタンスの
入力信号波形の傾き及び負荷容量の少なくとも一方を調
整することにより、レイアウト以降のバックアノテーシ
ョン時に使われる遅延計算ツールの遅延計算アルゴリズ
ムに適したレイアウトを生成することにある。
【0105】以降の説明では、前記遅延計算アルゴリズ
ムが、インスタンス入出力端子間の信号波形伝播を扱わ
ないアルゴリズムである場合について説明する。
【0106】図11において、先ず、レイアウトステッ
プS1100は、ネットリスト1101と遅延ライブラ
リ1100とを入力して、配置配線を行ない、その後、
寄生素子の抵抗及び容量成分を抽出し、RC情報110
2を生成する。遅延ライブラリ1100は、前記第1の
実施の形態で説明した遅延ライブラリ104により構成
されている。ネットリスト1101は、インスタンスと
インスタンス間を接続する関係だけが記載されたネット
リストであって、verilogやVHDL等の言語を用いて
記述される。また、RC情報1102は、DSPFフォ
ーマットで表現できる。
【0107】次に、入出力端子情報抽出ステップS11
01では、RC情報1102と遅延ライブラリ1100
とを入力し、RC情報1102に含まれる全てのインス
タンスについて、入力信号波形の傾きと、出力端子に継
る負荷容量とを計算し、それ等を入出力端子情報110
3として出力する。RC情報1102は、DSPFフォ
ーマットで出力されており、図12(a)に示すよう
に、2個のインスタンス1200、1201とそれ等を
接続する配線1202とで構成される組の集まりとして
表現されている。
【0108】入出力端子情報抽出ステップS1101で
は、前記各組毎に計算を行ない、入出力端子情報110
3を計算する。前記計算において、インスタンス120
0が駆動する容量、つまり配線1202とインスタンス
1201の入力端子容量は、前記DSPFファイルに記
載されている総容量値を負荷容量1204として用いて
もよいし、また、インスタンス1200の出力端子での
回路方程式を解くことにより、配線1202の抵抗成分
を考慮に入れた実効容量値としてもよい。
【0109】次に、インスタンス1200の出力端子に
おける回路方程式を立て、その回路方程式を解くことに
より、インスタンス1200の出力信号波形1205を
計算する。前記回路方程式の作成方法は、配線1202
とインスタンス1201の入力端子容量のアドミタンス
を計算し、インスタンス1200の出力端子における応
答が等価な等価回路で表現し、インスタンス1200が
前記等価回路を駆動するとして回路方程式を立てる。前
記等価回路は、例えば、1つの抵抗と、その抵抗の両端
の各々に、片方が接地された容量が接続されるπ型RC
回路が使用される。
【0110】次に、配線1202に信号波形1205が
入力された場合に、配線1202を、配線1202の出
力端、つまりインスタンス1201の入力端子での応答
が等価な等価回路で表現し、前記出力端子での回路方程
式を立てて解くことにより、インスタンス1201の入
力信号波形1206を計算する。
【0111】このようにして計算されたインスタンス1
200の負荷容量と、インスタンス1201の入力信号
波形とを入力端子情報1103として計算する。尚、図
12中、1203はインスタンス1200の入力信号波
形である。
【0112】以降、前記組毎に信号伝播方向に計算を行
ない、全てのインスタンスの入力信号波形傾きと負荷容
量を計算する。但し、信号伝播初段のインスタンスの入
力信号波形の傾きと、終端のインスタンスの負荷容量と
は、予め定められたデフォルト値を使用する。
【0113】次に、インスタンス抽出ステップS110
2では、入出力端子情報1103と遅延ライブラリ11
00とを入力して、入出力端子情報1103に記載され
ている入力信号波形傾きと負荷容量とを遅延ライブラリ
1100中の依存度テーブルと照らし合わせ、依存度大
と判定される領域での動作をしているインスタンスを、
インスタンス情報1104として抽出する。
【0114】インスタンス1200の依存度テーブルは
テーブル500、501で表されるとする。
【0115】依存度の判定方法は、入出力端子情報11
03に記載されているインスタンス1200の入力信号
波形の傾きと負荷容量とが、依存度テーブル500、5
01の依存度大又は依存度小の何れの領域にあるかを調
べ、依存度大領域での動作であれば、そのインスタンス
をインスタンス情報1104に記載する。
【0116】次に、レイアウト制約生成ステップ(制約
ステップ)S1103では、インスタンス情報1104
と遅延ライブラリ1100とを入力して、ネットリスト
1101のインスタンス、又は前記インスタンスが含ま
れるパスのタイミングを修正するようなレイアウト制約
1105を生成する。
【0117】先ず、インスタンス情報1104に記載さ
れているインスタンス1200の依存度テーブル50
0,501を遅延ライブラリ1100から抽出する。
【0118】次に、インスタンス情報1104に記載さ
れているインスタンス1200の入力信号波形傾きの大
きさがTslewであり、負荷容量の大きさがCloadである
として、具体的なレイアウト制約1105の生成方法を
示す。
【0119】入力信号波形の傾きTslew及び負荷容量C
loadは、以下の式3,4の不等式を満たす場合を用い
て、レイアウト制約1105生成方法について説明す
る。
【0120】 slew2< Tslew< slew3 (式3) load3< Cload< load4 (式4) 先ず、式4とテーブル500を照らし合わせて、式4が
属する領域は入力信号波形傾きに依らず、必ず依存度大
の領域であるので、負荷容量Cloadをload3よりも小さ
い値にする必要があることが判る。
【0121】次に、テーブル501と式3を照らし合わ
せて、入力信号波形傾きTslewが式3を満たす場合に
は、負荷容量値がload1程度の小さい値又はload4程度
の大きな値の場合に依存度小の領域になることが判る。
【0122】以上の2点から、入力信号波形傾きTslew
は式3で表される値で変更しない場合に、インスタンス
1200を依存度小の領域での動作に変更するために
は、負荷容量Cloadをload1程度の大きさにすればよ
い。
【0123】従って、レイアウト制約1105には、イ
ンスタンス1200の負荷容量をload1程度に小さくす
るという制約を記述する。
【0124】また、別な方法を説明する。式4とテーブ
ル500を照らし合わせて、式4が属する領域は、入力
信号波形の傾きに依らず必ず依存度大の領域であるの
で、負荷容量Cloadをload3よりも小さい値にすること
は前記した方法と同じである。
【0125】次に、入力信号波形傾きTslewを変更する
ことを考える。テーブル501から、入力信号波形傾き
Tslewを下記の式5を満たすようにすれば、負荷容量C
loadの大きさは、前記した方法のようにload1まで小さ
く設定しなくても、load2からload3の間の負荷容量で
よい。
【0126】 slew1< Tslew< slew2 (式5) 従って、この方法では、レイアウト制約1105とし
て、インスタンス1200の負荷容量をload2からload
3程度にし、且つ入力信号波形の傾きをslew1からslew
2の間になるような制約を記述する。具体的には、イン
スタンス1200を駆動するインスタンスの駆動能力を
上げ、且つインスタンス1200の駆動する配線の配線
長を短くするという制約を与える。
【0127】以上のようにして、インスタンス情報11
04に記載されているインスタンスに対し、レイアウト
制約を生成する。
【0128】次に、レイアウト制約1105に何も記載
されていない、つまり依存度が大きいインスタンスがな
いと判断されれば、レイアウトは完了する。
【0129】逆に、レイアウト制約1105に何か記載
されている場合には、前記レイアウトステップS110
0に戻り、前記レイアウト制約1105に従って該当部
分の再レイアウトを行ない、その後、再レイアウト後の
依存度を判定し、依存度が大きいインスタンスがなくな
るまで処理を繰り返す。
【0130】以上の手順により生成されたレイアウト
は、インスタンスの入出力端子間の信号伝播遅延の依存
度が小さい領域での動作を行なうインスタンスのみで構
成されており、インスタンスの入出力端子間の信号波形
伝播を扱わないアルゴリズムを有している遅延計算ツー
ルであっても、高精度に遅延計算を行なうことができる
レイアウトである。このため、バックアノテーション時
に、遅延ライブラリや遅延計算の実測に対する精度を保
証するために使われている設計マージンの遅延計算精度
に該当するマージンを減らすことができる。また、半導
体集積回路の信頼性を向上できる。
【0131】
【発明の効果】以上説明したように、請求項1ないし請
求項9記載の発明のセルの遅延時間計算方法によれば、
セルの入力信号波形の傾き及び負荷容量に対する前記セ
ルの出力信号波形の依存度を計算したので、セルの入出
力端子間の信号波形伝播を厳密に考慮する必要がある箇
所に対しては、高精度な遅延計算アルゴリズムを搭載し
た遅延計算ツールを適用して、高精度に遅延時間を計算
し、一方、セルの入出力端子間の信号の波形伝播を厳密
に考慮しなくてもよい箇所に対しては、高速な遅延計算
ツールを適用して遅延時間を計算でき、よって、LSI
全体の遅延計算において高速で且つ高精度な遅延計算方
法を提供できる。
【0132】また、請求項10及び請求項11記載の発
明の半導体集積回路のレイアウト最適化方法によれば、
セルの入力信号波形の傾き及び負荷容量に対する前記セ
ルの出力信号波形の依存度を計算し、この依存度が所定
の依存度閾値よりも小さくなるようにレイアウトしたの
で、セルの入出力端子間の信号波形伝播を扱わないアル
ゴリズムを有する遅延計算ツールのみを用いて、高精度
に遅延時間を計算できる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態における遅延ライブ
ラリ生成フローを示す図である。
【図2】同遅延ライブラリ生成フローが持つ依存度テー
ブル生成ステップを示す図である。
【図3】同遅延ライブラリ生成フローにおいて回路シミ
ュレーションの実行方法を示す図である。
【図4】(a)は同遅延ライブラリ生成フローで生成す
る入出力信号波形相関テーブルを示す図、(b)は負荷
容量を変化させた場合の依存度テーブルを示す図、
(c)は入力信号波形の傾きを変化させた場合の依存度
テーブルを示す図である。
【図5】(a)は負荷容量を変化させた場合の依存度テ
ーブルの具体例を示す図、(b)は入力信号波形の傾き
を変化させた場合の依存度テーブルの具体例を示す図で
ある。
【図6】本発明の第1の実施の形態における遅延計算フ
ローを示す図である。
【図7】同遅延計算フローが持つ依存度判定ステップを
示す図である。
【図8】同遅延計算フローが持つネットリスト分割ステ
ップを示す図である。
【図9】(a)は同遅延計算フローにおいて入出力端子
情報の設定を示す図、(b)は同遅延計算フローにおい
て波形伝播フラグの設定を示す図である。
【図10】(a)は同遅延計算フローにおいて波形伝播
フラグの設定を示す図、(b)は同遅延計算フローにお
いてネットリストの分割方法を示す図である。
【図11】本発明の第2の実施の形態における最適レイ
アウト生成フローを示す図である。
【図12】(a)は同最適レイアウト生成フローにおい
て入出力端子情報の抽出を示す図、(b)は同最適レイ
アウト生成フローにおいて依存度の抽出を示す図であ
る。
【図13】従来例のレイアウト以降の設計フローを示す
図である。
【図14】(a)は従来例の信号波形の伝播を示す図、
(b)は従来例の信号波形の計算方法を示す図、(c)
は従来例の信号波形計算の様子を説明する図である。
【図15】(a)は遅延計算の対象となる回路の一例を
示す図、(b)は(a)の回路に対する遅延計算の精度
が悪くなる例を示す図、(c)は同他の例を示す図であ
る。
【図16】(a)は従来例における信号波形の伝播を示
す図、(b)は従来例の他の信号波形の計算方法を示す
図、(c)は従来例において信号波形計算を適用する範
囲を示す図である。
【図17】従来例において回路シミュレーションの実行
の結果を示す図である。
【符号の説明】
100、600 ネットリスト 101 シミュレーション条件 103 依存度テーブル 104 遅延ライブラリ S100 回路シミュレーションステップ S101 依存度テーブル生成ステップ
(依存度算出ステップ) S102 遅延ライブラリ生成ステップ S200 出力信号波形抽出ステップ S201 出力信号波形傾き計算ステップ S202 テーブル生成ステップ S203 入出力信号波形相関テーブル生
成ステップ(相関テーブル生成ステップ) S204 依存度計算ステップ(分類ステ
ップ) 203 入出力信号波形相関テーブル S600 第1の遅延計算ステップ S601 依存度判定ステップ S602 ネットリスト分割ステップ S603 第2の遅延計算ステップ S604 遅延情報合成ステップ 602 波形伝播フラグ S700 依存度大インスタンス抽出ステ
ップ S701 第1の波形伝播フラグ設定ステ
ップ 700 依存度大インスタンス情報 S800 波形伝播フラグ付加ネットリス
ト生成ステップ S801 第2の波形伝播フラグ設定ステ
ップ S802 分割ステップ 800 第1のフラグ付きネットリスト 801 第2のフラグ付きネットリスト S1100 レイアウトステップ S1101 入出力端子情報抽出ステップ S1102 インスタンス抽出ステップ S1103 レイアウト制約生成ステップ
(制約ステップ) 1102 RC情報 1104 インスタンス情報 1105 レイアウト制約
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/82 C

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 複数のセルを配線で接続して構成される
    半導体集積回路において、前記各セルの遅延時間を計算
    するセルの遅延時間計算方法であって、 前記各セルのトランジスタレベルのネットリストを入力
    し、前記各セルの入力信号波形の傾き及び前記各セルの
    出力端子に継る負荷容量の大きさの少なくとも一方を変
    化させて、前記各セルの回路シミュレーションを行い、
    各シミュレーション条件下での各セルの出力信号波形を
    得る回路シミュレーションステップと、 前記各シミュレーション条件、及び前記回路シミュレー
    ションステップで得られた各セルの出力信号波形に基づ
    いて、前記入力信号波形の傾き及び前記負荷容量の少な
    くとも一方に対する前記各セルの出力信号波形の傾きの
    依存度を算出する依存度算出ステップとを有し、 前記依存度算出ステップで計算された依存度に基づい
    て、セルの入出力端子間の信号波形伝播を考慮に入れた
    遅延計算式と考慮に入れない遅延計算式とを使い分け
    て、前記各セルの遅延時間を計算することを特徴とする
    セルの遅延時間計算方法。
  2. 【請求項2】 前記依存度算出ステップでは、 前記各セル毎に、入力信号波形の傾き及び負荷容量と、
    出力信号波形の傾きとの関係を相関テーブルとして表現
    し、 前記相関テーブルから、前記入力信号波形の傾き及び負
    荷容量に対する出力信号波形の傾きの依存度が所定の依
    存度閾値よりも大きい領域を依存度大領域、前記依存度
    閾値よりも小さい領域を依存度小領域として分類し、 前記分類した相関テーブルを依存度テーブルとして持つ
    ことを特徴とする請求項1記載のセルの遅延時間計算方
    法。
  3. 【請求項3】 前記依存度テーブルは、前記各セルの遅
    延ライブラリに格納されることを特徴とする請求項2記
    載のセルの遅延時間計算方法。
  4. 【請求項4】 前記依存度算出ステップは、 前記回路シミュレーションステップのシミュレーション
    結果を入力して、前記各セルの出力信号波形群を抽出す
    る出力信号波形抽出ステップと、 前記出力信号波形群を入力して、前記出力信号波形群に
    属する全ての信号波形を直線近似した傾きを計算し、出
    力信号波形傾き群を生成する出力信号波形傾き計算ステ
    ップと、 前記各セル毎に、入力信号波形の傾きと、出力端子に接
    続される負荷容量とをインデックスに持つテーブルを生
    成するテーブル生成ステップと、 前記出力信号波形傾き群の各傾きを前記テーブルに埋め
    込んで、前記相関テーブルとする相関テーブル生成ステ
    ップと、 前記相関テーブル内の各出力信号波形の傾きを前記依存
    度閾値に相当する所定の値の傾きと比較して、前記相関
    テーブルを依存度大領域と依存度小領域とに分類する分
    類ステップとを持つことを特徴とする請求項2記載のセ
    ルの遅延時間計算方法。
  5. 【請求項5】 複数のセルを含む半導体集積回路のレイ
    アウト結果から寄生容量及び抵抗を抽出したゲートレベ
    ルのネットリストと、前記複数のセルの遅延時間を格納
    する遅延ライブラリとを入力して、前記複数のセル及び
    配線の遅延時間と前記各セルの入力信号波形の傾き及び
    前記各セルの出力端子に継る負荷容量とを計算し、入出
    力端子情報とする第1の遅延計算ステップと、 前記ネットリスト、前記遅延ライブラリ、及び前記入出
    力端子情報とを入力して、前記各セル毎に入力信号波形
    の傾き及び負荷容量の大きさに対する出力信号波形の傾
    きの依存度を計算し、前記各セル毎に前記依存度が前記
    依存度テーブルの依存度大領域又は依存度小領域の何れ
    に属するかを判定する依存度判定ステップとを有し、 前記依存度が依存度小領域に属するセルでは、セルの入
    出力端子間の信号波形伝播を考慮に入れない第1の遅延
    計算式を用いて遅延時間を計算し、前記依存度が依存度
    大領域に属するセルでは、セルの入出力端子間の信号波
    形伝播を考慮に入れた第2の遅延計算式を用いて遅延時
    間を計算することを特徴とする請求項2記載のセルの遅
    延時間計算方法。
  6. 【請求項6】 前記依存度が前記依存度小領域に属する
    セル、このセルの入出力端子に継る配線の容量及び抵抗
    情報、並びに前記配線に継る全てのセルの接続情報を第
    1のネットリストとして生成し、一方、前記依存度が前
    記依存度大領域に属するセル、このセルの入出力端子に
    継る配線の容量及び抵抗情報、並びに前記配線に継る全
    てのセルの接続情報を第2のネットリストとして生成す
    るネットリスト分割ステップと、 前記第1のネットリストに対しては前記第1の遅延計算
    式を用いて第1の遅延計算結果を計算し、前記第2のネ
    ットリストに対しては前記第2の遅延計算式を用いて第
    2の遅延計算結果を計算する第2の遅延計算ステップ
    と、 前記第1の遅延計算結果及び前記第2の遅延計算結果を
    合わせて1つの遅延計算結果を生成する遅延情報合成ス
    テップとを持つことを特徴とする請求項5記載のセルの
    遅延時間計算方法。
  7. 【請求項7】 前記依存度判定ステップは、 前記遅延ライブラリ、前記入出力端子情報、及び前記ネ
    ットリストを入力して、前記ネットリストに含まれる各
    セル毎に、前記入出力端子情報から入力信号波形の傾き
    及び負荷容量を抜き出し、この入力信号波形の傾き及び
    負荷容量に対応する出力信号波形の傾きの依存度を前記
    依存度テーブルと照合し、前記依存度が依存度大領域に
    属するセルを依存度大インスタンス情報として登録する
    依存度大インスタンス抽出ステップと、 前記依存度大インスタンス情報を入力し、前記ネットリ
    ストと前記依存度大インスタンス情報との双方に含まれ
    るセルに対して、前記第1のネットリストに属すべき情
    報として波形伝播フラグを設定する第1の波形伝播フラ
    グ設定ステップとを持つことを特徴とする請求項5記載
    のセルの遅延時間計算方法。
  8. 【請求項8】 前記ネットリスト分割ステップは、 前記ネットリストに前記第1の波形伝播フラグを加えて
    第1のフラグ付きネットリストとする波形伝播フラグ付
    加ネットリスト生成ステップと、 前記第1の波形伝播フラグが設定されたセルに継がるセ
    ルに対して第2の波形伝播フラグを設定し、前記第1の
    波形伝播フラグ付加ネットリストに前記第2の波形伝播
    フラグを加えて第2の波形伝播フラグ付きネットリスト
    とする第2の波形伝播フラグ設定ステップと、 前記第2の波形伝播フラグ付きネットリストを入力し
    て、前記第1又は第2の波形伝播フラグが設定されてい
    ないセル及びこのセルに継る配線を抽出して第1のネッ
    トリストとし、前記第1又は第2の波形伝播フラグが設
    定されているセル及びこのセルに継る配線を抽出して第
    2のネットリストとする分割ステップとを持つことを特
    徴とする請求項7記載のセルの遅延時間計算方法。
  9. 【請求項9】 前記第2の波形伝播フラグ設定ステップ
    では、 所定の段数の伝播段数閾値を入力し、前記第1の波形伝
    播フラグが設定されたセルに継がるセルとして、前記伝
    播段数閾値の段数までのセルを選択し、この選択したセ
    ルに対して前記第2の波形伝播フラグを設定することを
    特徴とする請求項8記載のセルの遅延時間計算方法。
  10. 【請求項10】 複数のセルを配線で接続して構成され
    る半導体集積回路のレイアウトを最適化する半導体集積
    回路のレイアウト最適化方法であって、 前記複数のセルのネットリスト及び遅延ライブラリを入
    力して、前記複数のセルの配置配線情報を生成し、前記
    配置配線情報の寄生素子のRC情報を生成するレイアウ
    トステップと、 前記RC情報及び前記遅延ライブラリを入力し、前記各
    セル毎に入力信号波形の傾きとセルの出力端子に継がる
    負荷容量とを計算し、入出力端子情報とする入出力端子
    情報抽出ステップと、 前記入出力端子情報及び前記遅延ライブラリを入力し
    て、前記各セル毎に入力信号波形の傾き及び前記負荷容
    量に対する出力信号波形の傾きの依存度を計算し、前記
    依存度が所定の依存度閾値よりも大きいセルをインスタ
    ンス情報として登録するインスタンス抽出ステップと、 前記インスタンス情報として登録されたセルについて、
    前記依存度が前記依存度閾値よりも小さくなるように再
    レイアウトさせる制約ステップとを有することを特徴と
    する半導体集積回路のレイアウト最適化方法。
  11. 【請求項11】 前記制約ステップは、 前記インスタンス情報に登録されたセルの再レイアウト
    を行なうためのレイアウト制約を生成し、 前記レイアウトステップに戻って、前記生成されたレイ
    アウト制約を満たすようにセルの再レイアウトを行うこ
    とを特徴とする請求項10記載の半導体集積回路のレイ
    アウト最適化方法。
JP32947399A 1999-11-19 1999-11-19 セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法 Withdrawn JP2001147948A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP32947399A JP2001147948A (ja) 1999-11-19 1999-11-19 セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法
US09/714,095 US6718529B1 (en) 1999-11-19 2000-11-17 Method for calculation of cell delay time
US10/403,285 US7107557B2 (en) 1999-11-19 2003-04-01 Method for calculation of cell delay time and method for layout optimization of semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP32947399A JP2001147948A (ja) 1999-11-19 1999-11-19 セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法

Publications (1)

Publication Number Publication Date
JP2001147948A true JP2001147948A (ja) 2001-05-29

Family

ID=18221781

Family Applications (1)

Application Number Title Priority Date Filing Date
JP32947399A Withdrawn JP2001147948A (ja) 1999-11-19 1999-11-19 セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法

Country Status (2)

Country Link
US (2) US6718529B1 (ja)
JP (1) JP2001147948A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007226480A (ja) * 2006-02-22 2007-09-06 Fujitsu Ltd I/o回路の信号遅延時間見積り方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4035354B2 (ja) * 2001-07-11 2008-01-23 富士通株式会社 電子回路設計方法及び装置、コンピュータプログラム及び記憶媒体
US20030144825A1 (en) * 2002-01-28 2003-07-31 Korobkov Alexander I Prediction method and apparatus for delay and skew analysis
US20050117510A1 (en) * 2002-05-03 2005-06-02 Andy Huang Cell Current ReConstruction Based on Cell Delay and Node Slew Rate
US6868533B2 (en) * 2002-11-26 2005-03-15 International Business Machines Corporation Method and system for extending delay and slew metrics to ramp inputs
US20040153303A1 (en) * 2002-12-30 2004-08-05 Le Tang Efficient process for time dependent network model in an energy market simulation system
JP2004252831A (ja) * 2003-02-21 2004-09-09 Matsushita Electric Ind Co Ltd Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法
US7000205B2 (en) * 2003-05-29 2006-02-14 International Business Machines Corporation Method, apparatus, and program for block-based static timing analysis with uncertainty
US6950996B2 (en) * 2003-05-29 2005-09-27 International Business Machines Corporation Interconnect delay and slew metrics based on the lognormal distribution
EP1629410A4 (en) * 2003-05-30 2006-08-30 Univ California CIRCUIT NETWORK ANALYSIS THROUGH AN ALGEBRAIC MULTIGRID APPROACH
JP3935929B2 (ja) * 2003-09-05 2007-06-27 富士通株式会社 遅延時間演算プログラム、および遅延時間演算装置
JP3990339B2 (ja) * 2003-10-30 2007-10-10 株式会社東芝 回路自動設計装置、回路自動設計方法及び回路自動設計プログラム
TW200538001A (en) * 2004-05-14 2005-11-16 Hon Hai Prec Ind Co Ltd System and method for verifying space of the pcb layout nets
TW200540611A (en) * 2004-06-04 2005-12-16 Hon Hai Prec Ind Co Ltd System and method for verifying delay of a motherboard layout
JP4481155B2 (ja) * 2004-12-08 2010-06-16 パナソニック株式会社 セルの入力端子容量の算出方法、および遅延算出方法
WO2006078302A1 (en) * 2005-01-14 2006-07-27 The Regents Of The University Of California Efficient transistor-level circuit simulation
US8020122B2 (en) * 2005-06-07 2011-09-13 The Regents Of The University Of California Circuit splitting in analysis of circuits at transistor level
WO2007005005A1 (en) * 2005-06-29 2007-01-11 The Regents Of The University Of California Electrical signaling via differential transmission line
US20080147373A1 (en) * 2006-12-14 2008-06-19 Thomas Roessler Method for analyzing the design of an integrated circuit
JP4530049B2 (ja) * 2008-01-10 2010-08-25 ソニー株式会社 半導体装置の設計プログラムおよび半導体装置の設計システム
US8706467B2 (en) * 2008-04-02 2014-04-22 Synopsys, Inc. Compact circuit-simulation output
JP2010113528A (ja) * 2008-11-06 2010-05-20 Panasonic Corp 遅延シミュレーション装置、遅延シミュレーション方法、pldマッピング装置、pldマッピング方法、及び半導体集積回路
US20100257499A1 (en) * 2009-04-02 2010-10-07 International Business Machines Corporation Techniques for fast area-efficient incremental physical synthesis
SG2013048186A (en) * 2013-06-20 2015-01-29 Plunify Pte Ltd System and method for designing an integrated circuit
US10318695B2 (en) 2013-12-05 2019-06-11 International Business Machines Corporation Phase algebra for virtual clock and mode extraction in hierarchical designs
US9268889B2 (en) 2013-12-05 2016-02-23 International Business Machines Corporation Verification of asynchronous clock domain crossings
US9916407B2 (en) 2013-12-05 2018-03-13 International Business Machines Corporation Phase algebra for analysis of hierarchical designs
US9384310B1 (en) * 2014-09-30 2016-07-05 Cadence Design Systems, Inc. View data sharing for efficient multi-mode multi-corner timing analysis
US10275561B2 (en) 2016-05-27 2019-04-30 Taiwan Semiconductor Manufacturing Company Limited Method for eliminating false paths of a circuit unit to be implemented using a system

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5625803A (en) * 1994-12-14 1997-04-29 Vlsi Technology, Inc. Slew rate based power usage simulation and method
US5838947A (en) * 1996-04-02 1998-11-17 Synopsys, Inc. Modeling, characterization and simulation of integrated circuit power behavior
TW440782B (en) * 1996-12-11 2001-06-16 Matsushita Electric Ind Co Ltd Method for estimating hot carrier deterioration
US6249901B1 (en) * 1996-12-13 2001-06-19 Legend Design Technology, Inc. Memory characterization system
US6182269B1 (en) * 1997-08-06 2001-01-30 Lsi Logic Corporation Method and device for fast and accurate parasitic extraction
US6028995A (en) * 1998-03-31 2000-02-22 Lsi Logic Corporation Method of determining delay in logic cell models
US6397170B1 (en) * 1998-08-18 2002-05-28 International Business Machines Corporation Simulation based power optimization
US6301689B1 (en) * 1998-09-28 2001-10-09 International Business Machines Corporation Spacing violation checker
US6396307B1 (en) * 1999-05-19 2002-05-28 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit and method for designing the same
JP2000357183A (ja) * 1999-06-16 2000-12-26 Matsushita Electric Ind Co Ltd 遅延ライブラリ表現方法、遅延ライブラリ生成方法、および遅延ライブラリを用いた遅延計算方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007226480A (ja) * 2006-02-22 2007-09-06 Fujitsu Ltd I/o回路の信号遅延時間見積り方法

Also Published As

Publication number Publication date
US7107557B2 (en) 2006-09-12
US6718529B1 (en) 2004-04-06
US20030204828A1 (en) 2003-10-30

Similar Documents

Publication Publication Date Title
JP2001147948A (ja) セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法
KR101602506B1 (ko) 전자 회로의 계층적 오더 랭킹 시뮬레이션 방법 및 시뮬레이션을 위해 컴퓨터 실행가능 명령을 저장하는 컴퓨터 판독가능 기록 매체
Kong A novel net weighting algorithm for timing-driven placement
US7142991B2 (en) Voltage dependent parameter analysis
US6405348B1 (en) Deep sub-micron static timing analysis in the presence of crosstalk
Menezes et al. A sequential quadratic programming approach to concurrent gate and wire sizing
US6701507B1 (en) Method for determining a zero-skew buffer insertion point
US7313771B2 (en) Computing current in a digital circuit based on an accurate current model for library cells
US8726211B2 (en) Generating an equivalent waveform model in static timing analysis
US8266559B2 (en) Nonlinear driver model for multi-driver systems
US8316339B2 (en) Zone-based leakage power optimization
Vittal et al. Power optimal buffered clock tree design
JP2001093982A (ja) 配線容量計算方法、クロストークディレイ計算方法、およびそれらのデータを記憶したコンピュータ読み取り可能な記憶媒体
JP2001022813A (ja) 不要輻射解析方法
US20230036554A1 (en) Apparatus and method for advanced macro clock skewing
US8032342B2 (en) Segmentation and interpolation of current waveforms
US8799839B1 (en) Extraction tool and method for determining maximum and minimum stage delays associated with integrated circuit interconnects
US7594209B2 (en) Method for incorporating Miller capacitance effects in digital circuits for an accurate timing analysis
WO2006121042A1 (ja) 半導体集積回路の電源モデル作成方法、装置、およびプログラム
Bellido et al. Logic-timing simulation and the degradation delay model
US8924911B2 (en) Equation based transient circuit optimization
US8745558B1 (en) Deployment of transmission gate logic cells in application specific integrated circuits
Cong Modeling and layout optimization of VLSI devices and interconnects in deep submicron design
JP2000259686A (ja) ゲート遅延計算方法、ゲート遅延計算装置、及びゲート遅延計算プログラムを記録した記録媒体
Navabi et al. Modeling Timing Behavior of Logic Circuits Using Piecewise Linear Models

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061006

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080707