JP2003212517A - ガス供給システム及びガス供給方法 - Google Patents

ガス供給システム及びガス供給方法

Info

Publication number
JP2003212517A
JP2003212517A JP2002008685A JP2002008685A JP2003212517A JP 2003212517 A JP2003212517 A JP 2003212517A JP 2002008685 A JP2002008685 A JP 2002008685A JP 2002008685 A JP2002008685 A JP 2002008685A JP 2003212517 A JP2003212517 A JP 2003212517A
Authority
JP
Japan
Prior art keywords
gas
gas supply
pressure
generator
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002008685A
Other languages
English (en)
Other versions
JP4071968B2 (ja
Inventor
Yoichiro Tabata
要一郎 田畑
Akira Usui
明 臼井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP2002008685A priority Critical patent/JP4071968B2/ja
Priority to US10/210,872 priority patent/US20030133854A1/en
Publication of JP2003212517A publication Critical patent/JP2003212517A/ja
Application granted granted Critical
Publication of JP4071968B2 publication Critical patent/JP4071968B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/10Preparation of ozone
    • C01B13/11Preparation of ozone by electric discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J4/00Feed or outlet devices; Feed or outlet control devices
    • B01J4/008Feed or outlet control devices
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/10Preparation of ozone
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00002Chemical plants
    • B01J2219/00027Process aspects
    • B01J2219/00038Processes in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00049Controlling or regulating processes
    • B01J2219/00162Controlling or regulating processes controlling the pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00049Controlling or regulating processes
    • B01J2219/00164Controlling or regulating processes controlling the flow
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/10Dischargers used for production of ozone
    • C01B2201/14Concentric/tubular dischargers
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/90Control of the process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)
  • Pipeline Systems (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 供給するガスのガス流量、ガス生成器で発生
するガス量を適正なものにすること。 【解決手段】 本発明に係るガス供給システムは、ガス
を生成するガス生成器31と、ガス生成器31から出力
されるガスを供給するガス供給通路4と、ガス供給通路
4に設けられガス供給通路4に流れるガスの流量を制御
するガス流量制御器41と、ガス供給通路4と並列して
設けられガス生成器31から出力されるガスを排出する
ガス排出通路8と、ガス排出通路8に設けられガス排出
通路8に流れるガスの圧力を制御する圧力制御器81と
を備えている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、オゾンガス、生成
ガス、反応ガス等のガスを処理装置に供給するガス供給
システム及びガス供給方法に関するものである。
【0002】
【従来の技術】ガス供給システムは、一般に、オゾンガ
ス、生成ガス、反応ガス等のガスを生成するガス生成器
と、ガス生成器と処理装置とに接続されガス生成器から
出力されるガスを処理装置まで導く配管と、この配管に
設けられガス生成器から処理装置へ供給されるガスのガ
ス流量を調整するガス流量制御部とから構成されてい
る。
【0003】このようなガス供給システムは、例えば、
ガス生成器で生成されるオゾンガスや反応ガスを、内部
に半導体ウエハが収納された半導体処理装置に供給し、
このオゾンガスや反応ガスにより半導体処理装置で半導
体ウエハへの処理(成膜処理、ウエハ洗浄処理、レジス
ト剥離処理、エッチング処理等)がなされる半導体装置
の製造工程等を含め、ガスを処理装置に供給する様々な
分野で利用されている。
【0004】図17は上記従来のガス供給システムであ
る特開平8−133707号公報に記載されたガス供給
システムを示す図である。詳細には、半導体ウエハ上に
シリコン酸化膜を形成するため、オゾンガスをCVD
(Chemical Vapor Depositio
n)成膜装置に供給するガス供給システムを示す図であ
る。
【0005】図に示すように、配管1を介して原料ガス
である酸素ガスが、配管2を介して原料ガスである窒素
ガスが各々オゾン発生装置3に供給される。このとき、
配管1に設けられた、ガス開閉弁11、ガス減圧弁1
2、マスフロー流量制御器(MFC)13により、配管
1を流れる酸素ガスのガス流量が制御され、配管2に設
けられた、ガス開閉弁21、ガス減圧弁22、マスフロ
ー流量制御器(MFC)23により、配管2を流れる窒
素ガスのガス流量が制御されるようになっている。
【0006】このオゾン発生装置3に供給される原料ガ
スは、オゾン発生装置3内に設けられた互いに対向する
電極を有するオゾン発生器としてのオゾン発生器(セ
ル)31に供給されるが、供給される原料ガスは、圧力
1気圧以上の酸素に窒素を含んだガスになるよう制御さ
れて供給される。それととも、オゾン発生器31の電極
間に高周波高電圧電源(オゾナイザ電源)32による高
電圧を印加し、電極間に無声放電を発生させてオゾン発
生器31でオゾンガスを生成する。なお、オゾン生成時
には、無声放電に伴なって放電熱が発生するため、冷却
装置33からの水によって電極セル自身を冷却し、この
放電熱を冷却する。
【0007】このようにして生成されたオゾンガスは、
ガスフィルター34が設けられたガス出力管35から出
力され、ガス出力管35に接続されたガス供給管4を介
して半導体処理装置(被処理チャンバー)5に供給され
る。ガス供給管4にはマスフロー流量制御器(MFC)
41が設けられており、これによりガス供給管4を流れ
るオゾンガスの流量が制御されるようになっている。
【0008】一方、半導体処理装置5に連通する配管6
が配管2から分岐して設けられており、窒素ガスが配管
6に設けられたマスフロー流量制御器(MFC)61を
介してテトラエチルオルソシリケート(以下TEOSと
言う)供給器62に供給される。そして、TEOS供給
器62で窒素ガスにより液体のTEOSが気化され、そ
のTEOSガスが被処理チャンバー5に供給される。
【0009】被処理チャンバー5内では、配管4を通し
て供給されるオゾンガスと配管6を通して供給されるT
EOSガスとの化学反応により生成されたシリコン酸化
膜が被処理チャンバー5内に配置された半導体ウエハ上
に形成される。なお、被処理チャンバー5に残存するガ
ス等は配管7に設けられている逆止弁71、ガス分解処
理装置(排オゾン処理装置)72を介して排出される。
【0010】
【発明が解決しようとする課題】従来のガス供給システ
ム及びガス供給方法では、上記のようにガス生成器31
で生成されたガスが、ガス生成器31と処理装置5とを
接続するガス供給管4を介して処理装置5に供給される
ようになっている。そのため、処理装置5内へ供給する
ガスのガス流量を適正な値に制御すると、そのガス流量
の制御は、ガス供給管4の処理装置5とは反対側に接続
されているガス生成器31内の圧力にも影響を与えてし
まう。
【0011】ここで、ガス生成器31で生成されるガス
のガス量はガス生成器31内の圧力に影響するため、処
理装置5内へ供給するガスのガス流量の制御によりガス
生成器31内の圧力が影響を受けると、それに伴なって
ガス生成器31内で生成されるガスのガス量も影響を受
けてしまい、生成されるガス量を適切に制御できないと
いう問題点があった。
【0012】特に、上記半導体装置の製造工程に利用さ
れるガス供給システムの場合には、シリコン酸化膜の堆
積量の管理や酸化膜の品質を高めるため、半導体処理装
置5内を1〜数100Torrの範囲で一定の圧力に維
持するとともに、供給するTEOSガスやオゾンガス量
などをリアルタイム制御する必要がある。また、オゾン
発生器31内の圧力は、生成されるオゾンガスの生成量
等を考慮して1気圧以上のガス圧になるよう制御する必
要がある。そのため、半導体処理装置5へ供給するガス
量の制御を優先させると、オゾン発生器31内の圧力が
適正なものとならず、オゾン発生性能が低下するなどの
問題点があった。
【0013】また、ガス生成器内の圧力影響を抑制する
ため、ガス出力部にバルブを設けることが想定される
が、バルブを設けるだけでは、バルブの開閉度でガス流
量を制御するとともに、ガス流量によって一定の圧損を
持たせるように操作バルブを調整することが困難で十分
な制御が行えないという問題点があった。
【0014】また、従来のガス供給システム及びガス供
給方法では、接続される処理装置へ供給するガスのガス
流量制御によりガス生成器内の圧力が影響されるので、
接続される処理装置の数が多くなればなるほど、その影
響も大きくなってしまう。よって、ガス生成器内の圧力
影響を少なくするためには、1台のガス生成器に接続す
る処理装置を少なくする必要があり、1台のガス生成器
に対して、複数個の処理装置を接続できないという問題
点があった。
【0015】本発明は上記のような問題点を解決するた
めになされたもので、供給するガスのガス流量、ガス生
成器で発生するガス量を適正なものにすることができる
ガス供給システム及びガス供給方法を提供するものであ
る。
【0016】また、複数の処理装置に安定したガスを供
給することができるガス供給システム及びガス供給方法
を提供するものである。
【0017】
【課題を解決するための手段】本発明にかかるガス供給
システムは、ガスを生成するガス生成器と、上記ガス生
成器から出力されるガスを供給するガス供給通路と、上
記ガス供給通路に設けられ上記ガス供給通路に流れるガ
スの流量を制御するガス流量制御器と、上記ガス供給通
路と並列して設けられ上記ガス生成器から出力されるガ
スを排出するガス排出通路と、上記ガス排出通路に設け
られ上記ガス排出通路に流れるガスの圧力を制御する圧
力制御器とを備えている。
【0018】また、本発明にかかるガス供給システム
は、ガスを生成するガス生成器と、上記ガス生成器から
出力されるガスを供給するガス供給通路と、上記ガス供
給通路に設けられ上記ガス供給通路に流れるガスの流量
を制御するガス流量制御器と、上記ガス生成器と上記ガ
ス流量制御器との間のガス供給通路に設けられたバッフ
ァータンクと、上記ガス生成器と上記ガス流量制御器と
の間のガス供給通路に設けられ上記ガス供給通路に流れ
るガスの圧力を調整する圧力調整器とを備えている。
【0019】また、圧力制御器が、ガス生成器内の圧力
が一定になるようにガス排出通路に流れるガスの圧力を
制御するようにしてもよい。
【0020】また、圧力調整器が、ガス生成器内の圧力
が一定になるようにガス供給通路に流れるガスの圧力を
制御するようにしてもよい。
【0021】また、ガス生成器内の圧力を測定する圧力
計を設け、上記圧力計で測定された圧力値が所定圧力範
囲から外れたときに異常対策を施すようにしてもよい。
【0022】また、ガス供給通路が、並列して設けられ
た複数のガス供給管と、これらの各ガス供給管に設けら
れガス供給管に流れるガスの流量を制御するガス流量制
御器とを含むようにしてもよい。
【0023】また、複数のガス供給管が各々接続される
複数の処理装置を備えてもよい。
【0024】また、各ガス供給管に、弁と、上記弁の開
閉を制御する開閉制御器とを備えてもよい。
【0025】また、複数のガス供給管に接続される処理
装置を備えてもよい。
【0026】また、複数のガス供給管が、第1のガス流
量のガスを供給する第1のガス供給管と、第1のガス流
量とは異なる第2のガス流量のガスを供給する第2のガ
ス供給管とを含むようにしてもよい。
【0027】また、本発明にかかるガス供給方法は、ガ
ス生成器から出力されるガスをガス供給通路を介して供
給するとともにガス排出通路を介して排出し、上記ガス
排出通路に流れるガスの圧力を制御することで、上記ガ
ス供給通路を介して供給されるガスの流量及び上記ガス
生成器内の圧力を制御するものである。
【0028】また、本発明にかかるガス供給方法は、ガ
ス生成器から出力されるガスをガス供給通路を介して供
給し、上記ガス供給通路に配置されたバッファータンク
と圧力調整器とにより上記ガス供給通路に流れるガスの
圧力を制御することで、上記ガス供給通路を介して供給
されるガスの流用及び上記ガス生成器内の圧力を制御す
るものである。
【0029】また、ガス供給通路を、並列して設けられ
た複数のガス供給管にし、これら複数のガス供給管の流
量を各々制御してガスを供給するようにしてもよい。
【0030】
【発明の実施の形態】以下、本発明の実施の形態を図に
基づいて説明する。なお、以下では、処理装置にオゾン
ガス、生成ガス、反応ガス等を供給し、これらのガスを
用いて成膜処理やエッチング処理等の半導体ウエハの処
理を施す半導体装置の製造工程におけるガス供給システ
ム及びガス供給方法について説明する。
【0031】このように、以下の実施の形態では、上記
半導体装置の製造工程について説明するが、本発明のガ
ス供給システム及びガス供給方法は、この半導体装置の
製造工程に限定されるものではなく、ウエハ洗浄処理や
レジスト剥離処理等、他の半導体装置の製造工程におけ
るガス供給システム及びガス供給方法に適用してもよい
し、また、他の様々な用途におけるガス供給システム及
びガス供給方法に適用してもよい。
【0032】実施の形態1.図1はこの実施の形態1の
半導体装置の製造工程におけるガス供給システムを示す
概略構成図である。
【0033】図に示すように、ガス供給システムは、主
に、原料ガスをガス生成器に供給する原料ガス供給部
(配管1、2等)と、原料ガスに基づいてガスを生成す
るガス生成器(オゾン発生器31等)と、ガス生成器か
ら出力されるガスをガス供給口から供給するガス供給通
路(配管4)と、処理装置としての半導体処理装置5
と、TEOSガスを生成し供給するTEOSガス供給部
(配管6、TEOS供給器62)と、ガス供給通路と並
列して設けられガス生成器から出力されるガスをガス排
出口から排出するガス排出通路を含むガス排出部(配管
7,8等)とで構成されている。
【0034】原料ガス供給部は、一端がオゾン発生装置
3に接続され原料としての酸素ガスをオゾン発生装置3
に供給する配管1と、一端がオゾン発生装置3に接続さ
れ原料としての窒素ガスをオゾン発生装置3に供給する
配管2とで構成されており、配管1、2には、図17と
同様に、ガス開閉弁11、21、ガス減圧弁12、2
2、ガスの流量を制御するマスフロー流量制御器(MF
C)13、23が各々設けられており、各配管から供給
される原料ガスの流量等が調整できるようになってい
る。
【0035】ガス生成器は、図17と同様に、オゾン発
生装置3内に設けられ、互いに対向する電極からなるオ
ゾン発生器(セル)31と、オゾン発生器31の電極に
高電圧を印加する高周波高電圧電源(オゾナイザ電源)
32と、オゾン発生器31を冷却する冷却装置33と、
ガスフィルター34が設けられたガス出力管35とで構
成されている。
【0036】また、オゾン発生装置3内には、ガス出力
管35に一端が接続され、オゾン発生器31から出力さ
れるオゾンガスをガス供給口から供給するガス供給通路
としての配管4(その一部又は全て)が設けられてい
る。このガス供給通路(配管4)には、図17と同様
に、ガス供給通路に流れるガスの流量を制御するガス流
量制御器としてのマスフロー流量制御器(MFC)41
が設けられている。
【0037】それに加えて、オゾン発生装置3内には、
ガス供給通路4と並列して設けられガス生成器から出力
されるガスをガス排出口から排出するガス排出通路とし
てのガス排出管8(その一部又は全て)が設けられてい
る。ガス排出通路(ガス排出管8)には、ガス排出管8
に流れるガスの圧力を制御することでガス生成器31の
ガス圧力を自動的に一定値に制御する圧力制御器として
の自動圧力制御器(APC)81と、逆止弁82とが設
けられている。
【0038】すなわち、APC81、逆止弁82はガス
供給通路のオゾンガス流量を制御するMFC41と並列
に接続配管され、APC81から排出されるオゾンガス
はガス分解処理装置(排オゾン処理装置)72にバイパ
ス配管されている。
【0039】TEOSガス供給部は、図17と同様に、
配管2から分岐して半導体処理装置5に接続される配管
6と、配管6に設けられたマスフロー流量制御器(MF
C)61、TEOS供給器62とで構成されている。
【0040】半導体処理装置5は、ガス生成器から出力
されるガス及びTEOSガス供給部から供給されるTE
OSガスに基づいて半導体ウエハに半導体処理を施す処
理装置(被処理チャンバー)である。
【0041】なお、この半導体処理としては、例えば、
供給されるオゾンガスとTEOSガスとの化学反応によ
りシリコン酸化膜を生成し半導体ウエハ上にこのシリコ
ン酸化膜を形成する成膜処理等があげられるが、半導体
処理は成膜処理に限定するものではなく、供給されるガ
スに基づく処理であればよく、エッチング処理、ウエハ
洗浄処理、レジスト剥離処理等他の処理であってもよ
い。
【0042】ガス排出部は、ガス供給通路4と並列して
設けられガス生成器から出力されるガスをガス排出口か
ら排出するガス排出通路(ガス排出管8)と、半導体処
理装置5から排出されるガスをガス分解処理装置(排オ
ゾン処理装置)72に排出する配管7等で構成されてい
る。なお、半導体処理装置5に残存するガスは配管7に
設けられている逆止弁71、排オゾン処理装置72を介
して排出される。
【0043】次に動作について説明する。配管1を介し
て酸素が、配管2を介して窒素が各々オゾン発生装置3
に供給され、オゾン発生装置3のオゾン発生器31で、
供給された原料ガス(酸素、窒素)に基づいてオゾンガ
スが生成される。
【0044】生成されたオゾンガスはガス出力管35か
ら出力されるが、このオゾンガスは、MFC41が設け
られたガス供給管4を介して半導体処理装置5に供給さ
れるとともに、APC81が設けられたガス排出管8を
介して排オゾン処理装置72に排出される。
【0045】半導体処理装置5に供給されるオゾンガス
は、適切なガス流量になるよう、MFC41でそのガス
流量が制御される。ここでは、配管6を介して供給され
るTEOSガスとともに、オゾン処理によるウエハ表面
の酸化膜形成などの半導体処理が適切になるように、供
給するガス流量を制御する。
【0046】一方、MFC41の制御により配管4の流
量を制御すると、配管4のオゾン発生器31側の圧力が
影響を受けることになるが、この実施の形態では、この
配管4と並列にガス排出管8が配管しているので、この
ガス排出管8の圧力をAPC81で制御することで、配
管4のオゾン発生器31側の圧力を適正な値に制御する
ことができる。そのため、MFC41で配管4の流量を
制御しても、その影響分をガス排出管8のAPC81を
制御することで解消できるので、オゾン発生器31内の
圧力に与える影響を少なくすることができる。
【0047】すなわち、MFC41の制御によって、半
導体処理装置5に供給するオゾンガスの量を増やす場合
には、APC81から排出されるオゾンガスの量が少な
くなるようAPC81が自動的に閉まるように制御すれ
ばよい。また逆に、MFC41の制御によって、半導体
処理装置5に供給するオゾンガスの量を減らす場合に
は、APC81から排出されるオゾンガスの量が多くな
るようAPC81が自動的に開くように制御すればよ
い。このように制御することで、オゾン発生器31内の
圧力を一定に保つことができる。
【0048】この実施の形態1では、ガス生成器から出
力されるガスを供給するガス供給通路と並列して設けら
れ上記ガス生成器から出力されるガスを排出するガス排
出通路と、上記ガス排出通路に設けられ上記ガス排出通
路に流れるガスの圧力を制御する圧力制御器とを備えて
いるので、ガス排出通路に流れるガスの圧力制御を行う
ことで、ガス供給通路のガス生成器側の圧力を制御する
ことができる。その結果、ガス供給通路のガス生成器側
に圧力変化が生じても、その圧力の影響を圧力制御器の
制御で解消させることができ、ガス供給通路を介して供
給するガスのガス流量と、ガス生成器内の圧力とを適切
な値に制御することができる。
【0049】また、圧力制御器の制御により、ガス供給
通路に流れるガス流量の変動にかかわらず、ガス生成器
内の圧力が一定になるよう制御することができるので、
ガス生成器で安定したガスを発生させることができる。
【0050】この実施の形態では、ガス流量制御にマス
フローを用いたが、ガス流量制御器(FC)でガス量を
調整してもよい。また、オゾンガスの出力制御について
説明したが、他の生成もしくは反応ガスを出力する制御
方式においても同様の効果がある。
【0051】実施の形態2.実施の形態1のガス供給シ
ステムでは、1つのガス生成器に対して1つの処理装置
を接続しているが、この実施の形態2のガス供給システ
ムは、1つのガス生成器に対して複数の処理装置を接続
したものである。
【0052】図2はこの実施の形態2の半導体装置の製
造工程におけるガス供給システムを示す概略構成図であ
る。図に示すように、1つのガス生成器(オゾン発生器
31)に、複数の半導体処理装置501〜503が接続
されている。
【0053】詳細には、オゾン発生器31で生成される
ガスが出力されるガス出力管35に連通し互いに並列に
配置される各ガス供給管401〜403と各半導体処理
装置501〜503とを各々接続し、このガス供給管4
01〜403を介して各半導体処理装置501〜503
にオゾン発生器31で生成されるオゾンガスを各々供給
する。もちろん、各ガス供給管401〜403には、マ
スフロー流量制御器(MFC)411〜413が設けら
れており、各ガス供給管401〜403のガスのガス流
量が制御できるようになっている。
【0054】それに加え、配管2から分岐して半導体処
理装置5にTEOSガスを供給する配管も、各半導体処
理装置501〜503に対応させて複数の配管601〜
603にし、この配管601〜603を介して各半導体
処理装置501〜503にTEOSガスを各々供給す
る。また、各配管601〜603には、マスフロー流量
制御器(MFC)611〜613、TEOS供給器62
1〜623が各々設けられている。
【0055】なお、オゾン発生器31から出力されるオ
ゾンガスをガス供給通路401〜403を介して各半導
体処理装置501〜503に供給するとともに、このガ
ス供給通路401〜403と並列して設けられガス生成
器31から出力されるガスをガス排出口から排出するガ
ス排出通路としてのAPC81を有するガス排出管8が
設けられていることも含め、他は実施の形態1と同様で
ある。
【0056】このように構成することで、各半導体処理
装置501〜503に供給されるオゾンガスのガス流量
が各MFC411〜413で、供給されるTEOSガス
のガス流量が各MFC611〜613で適切な値に制御
される。
【0057】実施の形態1の場合と同様に、このMFC
411〜413の制御に伴なって、配管401〜403
のオゾン発生器31側の圧力が影響を受けることになる
が、配管401〜403に連通しているガス排出管8の
圧力をAPC81で制御することで、配管401〜40
3のオゾン発生器31側の圧力を適正な値に制御するこ
とができる。よって、MFC411〜413で配管40
1〜403の流量を制御しても、その影響分をガス排出
管8のAPC81を制御することで解消できるので、オ
ゾン発生器31内の圧力に与える影響を少なくすること
ができる。
【0058】この実施の形態2では、ガス排出通路に流
れるガスの圧力を圧力制御器で制御することで、ガス供
給通路におけるガス生成器側の圧力を調整することがで
きるので、1台のガス生成器から複数の半導体処理装置
に各々適切な流量のガスを供給できるとともに、ガス生
成器で適切な量のガスが生成できるよう制御することが
できる。その結果、1台のガス生成器のガス発生容量を
アップするのみで複数の半導体処理装置にガスを安定的
に供給することができ、安価でコンパクトなシステムを
提供できる。
【0059】実施の形態3.この実施の形態3のガス供
給システムは、実施の形態2のガス供給システムの各ガ
ス供給管に弁を設けたもので、処理装置へのガス供給の
有無を各処理装置毎に独立に制御するものである。
【0060】図3はこの実施の形態3の半導体装置の製
造工程におけるガス供給システムを示す概略構成図であ
る。図に示すように、図2に示したガス供給システムに
加えて、半導体処理装置501〜503にガスを供給す
る各々のガス供給管401〜403に空圧弁(弁及び弁
の開閉を制御する開閉制御器を含む)421〜423を
設けている。ここでは、空圧によって弁の開閉を制御す
る空圧弁を用いているが、これは特に限定するものでは
なく電磁弁等の他の手法で動作する弁を用いてもよい。
【0061】このように各ガス供給管401〜403に
空圧弁421〜423を設けることで、同一のガス生成
器31で生成されるガスを、複数の半導体処理装置50
1〜503に供給できるとともに、ガスの供給が不要な
半導体処理装置に対しては、その半導体処理装置へガス
を供給するガス供給管の弁を閉じるだけでその供給を停
止させることができ、ある半導体処理装置へのガスの供
給を継続させながら、他の半導体処理装置へのガスの供
給を停止させることができる。よって、複数の半導体処
理装置での処理を独立して行わせることができ、ガス供
給を効率よく運用することができる。
【0062】実施の形態4.実施の形態1〜3のガス供
給システムでは、1つの半導体処理装置に対してのガス
の供給は、1つのガス供給配管を介して行うようにして
いるが、この実施の形態4のガス供給システムは、1つ
の半導体処理装置に対してのガスの供給を複数のガス供
給管を介して行うようにし、これら各ガス供給管の流量
並びにこれら各ガス供給管からのガス供給の有無を各々
独立に制御するようにしたものである。
【0063】図4はこの実施の形態4の半導体装置の製
造工程におけるガス供給システムを示す概略構成図であ
る。図に示すように、オゾン発生装置3内には、ガス出
力管35に接続され、ガス供給口からガスを半導体処理
装置5に供給する互いに並列に配管されたガス供給通路
としての配管404と配管405とが設けられている。
【0064】これらの配管404、405には、各々ガ
ス供給通路に流れるガスの流量を制御するガス流量制御
器としてのマスフロー流量制御器(MFC)414、4
15と、空圧によって弁の開閉を制御する空圧弁42
4、425が設けられている。ここでは、空圧によって
弁の開閉を制御する空圧弁を用いているが、これは特に
限定するものではなく電磁弁等の他の手法で動作する弁
を用いてもよい。
【0065】なお、オゾン発生器31から出力されるオ
ゾンガスをガス供給通路404、405を介して半導体
処理装置5に供給するとともに、このガス供給通路40
4、405と並列して設けられガス生成器31から出力
されるガスをガス排出口から排出するガス排出通路とし
てのAPC81を有するガス排出管8が設けられている
ことも含め、他は実施の形態1と同様である。
【0066】このように構成することで、各ガス供給管
404、405の流量を各々独立に制御するとともに弁
424、425を制御することで、適切なガス流量のガ
スが瞬時に得られるように制御することができる。すな
わち、MFC414、415を制御することで、配管4
04、405から供給されるガスのガス流量を各々独立
に制御することができ、さらに、空圧弁424、425
の開閉を制御することで、配管404からガスを供給す
るのか、逆に、配管405からガスを供給するのかを制
御することができ、時分割的にオゾンガスを半導体処理
装置5に供給することができる。
【0067】以下、上記時分割的にオゾンガスを半導体
処理装置5に供給する手法を説明する。例えば、半導体
処理装置5内で、半導体ウエハ表面にシリコン酸化膜を
形成する工程は、半導体ウエハ表面へのシリコン酸化膜
の堆積を促進させる工程(デポジッション工程)と、半
導体ウエハ表面に堆積したシリコン酸化膜の絶縁性能等
の品質を向上させる工程(アニーリング工程)と、成膜
した半導体ウエハを取り出す工程(搬送工程)との3つ
に大別される。
【0068】これら3つの工程では、各処理で必要なガ
ス量が異なっており、デポジッション工程では大量のオ
ゾンガスが、アニーリング工程では少量のオゾンガスが
必要である。また、処理が施された半導体ウエハと未処
理の半導体ウエハとの交換を行うために、搬送工程では
オゾンガスの供給を停止してキャリアガスを供給する必
要がある。このような3工程は、生産工程を高めるため
に、そのスループットを向上させる必要があり、適時、
適量のガスを半導体処理装置5内に供給する必要があ
る。
【0069】図5は図4に示したガス供給システムにお
いて、上記3つの工程を含む半導体処理を行う場合の、
空圧弁の動作、半導体処理装置へのオゾンガスの供給量
の関係を示すタイムチャートである。なお、半導体処理
装置へのオゾンガス以外の薬品やガスの関係については
省略する。
【0070】予め、配管404からデポジッション工程
に対応したオゾンガス量が供給できるよう、配管404
のガス流量をMFC414で設定しておく。一方、配管
405からアニーリング工程に対応したオゾンガス量が
供給できるよう、配管405のガス流量をMFC415
で設定しておく。
【0071】例えば、オゾン発生器31から出力される
オゾン濃度が、150g/Nmである場合には、半導
体処理装置5に12.5mg/sの大量のオゾンガスが
供給できるように、MFC414で配管404の流量を
5000cc/minに設定し、又、半導体処理装置5
に1.25mg/sの少量のオゾンガスが供給できるよ
うに、MFC415で配管405の流量を500cc/
minに設定すればよい。
【0072】このように、2つのMFC414、415
を予め設定した状態で、空圧弁424、425の開閉を
制御するようにすれば、弁が開状態にある配管から、適
切なガス量のオゾンガスが供給されるので、上記成膜工
程の3工程をスムーズに実現できる。
【0073】つまり、デポジッション工程時には、空圧
弁424を開状態に、空圧弁425を閉状態にすること
で、配管404からデポジッション工程に対応したガス
流量5000cc/sのオゾンガスが半導体処理装置5
に供給され、アニーリング処理工程時には、空圧弁42
4を閉状態にし、空圧弁425を開状態にすることで、
配管405からアニーリング工程に対応したガス流量5
00cc/sのオゾンガスが半導体処理装置5に供給さ
れる。さらに、搬送工程には、両空圧弁424、425
を閉状態にして半導体処理装置5へのオゾンガスの供給
を停止させ、この停止時に、別途キャリアガスの供給に
よるガス交換を行い半導体ウエハの交換を行うようにす
る。
【0074】この実施の形態4では、1つの半導体処理
装置に対してのガスの供給を複数のガス供給管を介して
行うようにし、これら各ガス供給管の流量を各々独立に
制御するとともに、どのガス供給管からガスを供給させ
るかを制御するようにしているので、1つの処理装置に
異なるガス流量のガスを供給することができ、さらに、
弁の開閉を制御することで、異なるガス流量に瞬時に切
り換えることができるので、例えば半導体処理のスルー
プットを向上させることができる。
【0075】また、この実施の形態では、実施の形態1
のように半導体処理装置が1つの場合について説明した
が、これは特に限定するものではなく、実施の形態2、
3のように複数の半導体処理装置のものに適用してもよ
い。
【0076】実施の形態5.実施の形態1のガス供給シ
ステムは、ガス排出通路に流れるガスの圧力制御を行う
ことで、ガス供給通路のガス生成器側の圧力を制御する
ようにしているが、この実施の形態5では、ガス供給通
路にバッファータンクと圧力調整器とを設け、これらに
よりガス供給通路のガス生成器側の圧力を制御するもの
である。
【0077】図6はこの実施の形態5の半導体装置の製
造工程におけるガス供給システムを示す概略構成図であ
る。
【0078】図に示すように、ガス供給システムは、主
に、原料ガスをガス生成器に供給する原料ガス供給部
(配管1、2等)と、原料ガスに基づいてガスを生成す
るガス生成器(オゾン発生器31等)と、ガス生成器か
ら出力されるガスをガス供給口から供給するガス供給通
路(配管4)と、処理装置としての半導体処理装置5
と、TEOSガスを生成し供給するTEOSガス供給部
(配管6、TEOS供給器62)と、処理装置から出力
されるガスを外部に排出するガス排出部(配管7)とで
構成されている。
【0079】なお、原料ガス供給部、ガス生成器、半導
体処理装置、TEOSガス供給部に関しては、実施の形
態1と同様である。
【0080】オゾン発生装置3内には、図1と同様に、
ガス出力管35に一端が接続され、オゾン発生器31か
ら出力されるオゾンガスをガス供給口から半導体処理装
置5に供給するガス供給通路としての配管4(その一部
又は全て)が設けられており、このガス供給通路(配管
4)には、ガス供給通路に流れるガスの流量を制御する
ガス流量制御器としてのマスフロー流量制御器(MF
C)41が設けられている。
【0081】そして、この実施の形態5では、それに加
えて、このガス供給通路におけるガス生成器31とガス
流量制御器(MFC)41との間に、MFC41による
流量変動に対しても十分に対応できるオゾン量を確保で
きるバッファータンク91と、ガス供給通路のガス生成
器側の圧力を調整する圧力調整器としての圧力ヘッドノ
ズル92とが設けられている。
【0082】なお、圧力調整器は、圧力ヘッドノズルに
限定するものではなく、例えば、バルブやノズル等、圧
力を調整できるものであればよい。
【0083】次に動作について説明する。実施の形態1
と同様にしてオゾン発生器31で生成されたオゾンガス
はガス出力管35から出力されるが、このオゾンガス
は、バッファータンク91、圧力ヘッドノズル92、M
FC41が設けられたガス供給管4を介して半導体処理
装置5に供給される。なお、半導体処理装置5に供給さ
れるオゾンガスは、適切なガス流量になるよう、実施の
形態1と同様に、MFC41でそのガス流量が制御され
る。
【0084】このように、MFC41の制御により配管
4の流量を制御すると、配管4のオゾン発生器31側の
圧力が影響を受けることになるが、この実施の形態で
は、ガス供給管4における、ガス生成器とMFC41と
の間に、バッファータンク91と圧力ヘッドノズル92
とを配置しているので、バッファータンク91により、
MFC41による流量変化に対して十分対応できるオゾ
ン量を確保できるとともに、圧力ヘッドノズル92によ
り、ガス供給通路のガス生成器側の圧力を調整すること
ができる。その結果、オゾン発生器31内の圧力を適切
な値(例えば、一定)に制御することができる。そのた
め、MFC41で配管4の流量を制御しても、その影響
分をバッファータンク91、圧力ヘッドノズル92で解
消できるので、オゾン発生器31内の圧力に与える影響
を少なくすることができる。
【0085】この実施の形態5では、ガス生成器とガス
流量制御器との間のガス供給通路に設けられたバッファ
ータンクと、ガス生成器とガス流量制御器との間のガス
供給通路に設けられガス供給通路に流れるガスの圧力を
調整する圧力調整器とを備えているので、ガス供給通路
のガス生成器側の圧力を制御することができる。その結
果、ガス供給通路のガス生成器側に圧力変化が生じて
も、その圧力の影響を解消させることができ、ガス供給
通路を介して供給するガスのガス流量と、ガス生成器内
の圧力とを適切な値に制御することができる。
【0086】また、圧力調整器の制御により、ガス供給
通路に流れるガス流量の変動にかかわらず、ガス生成器
内の圧力が一定になるよう制御することができるので、
ガス生成器で安定したガスを発生させることができる。
【0087】また、ここでは、ガス生成器31、バッフ
ァータンク91、圧力調整器92、MFC41の順に配
置しているが、バッファータンク91、圧力調整器92
は、ガス生成器31とMFC41との間に配置されてい
ればよく、図7に示すように、ガス生成器31、圧力調
整器(圧力ヘッドノズル)92、バッファータンク9
1、MFC41の順に配置してもよい。
【0088】また、この実施の形態5では、ガス供給通
路にバッファータンクと圧力調整器とを設け、これらに
よりガス供給通路のガス生成器側の圧力を制御するよう
にしているが、図8に示すように、実施の形態1のガス
供給システムにおけるガス供給通路にバッファータンク
と圧力調整器とを設けるようにしてもよい。
【0089】このようにすることで、ガス排出通路に流
れるガスの圧力制御と、ガス供給通路に設けられたバッ
ファータンクと圧力調整器とによる圧力制御とにより、
ガス供給通路のガス生成器側の圧力を制御することがで
きるので、より適切な制御を行うことができる。
【0090】実施の形態6.実施の形態5のガス供給シ
ステムは、1つのガス生成器に対して1つの処理装置を
接続しているが、この実施の形態6のガス供給システム
は、1つのガス供給器に対して複数の処理装置を接続し
たものである。
【0091】図9はこの実施の形態6の半導体装置の製
造工程におけるガス供給システムを示す概略構成図であ
る。図に示すように、図2と同様に、MFC411〜4
13が設けられた各ガス供給管401〜403を介し
て、1つのガス生成器(オゾン発生器31)に複数の半
導体処理装置501〜503が接続されている。
【0092】また、配管2から分岐して半導体処理装置
5にTEOSガスを供給する配管も、各半導体処理装置
501〜503に対応させてMFC611〜613が設
けられた複数の配管601〜603にしている。
【0093】なお、ガス供給通路におけるガス生成器3
1とガス流量制御器411〜413との間に、バッファ
ータンク91と、圧力ヘッドノズル92等の圧力調整器
を設けることも含め、他は実施の形態5と同様である。
【0094】このように構成することで、実施の形態5
と同様に、バッファータンク91及び圧力調整器92に
より、ガス供給通路のガス生成器側の圧力を調整するこ
とができ、オゾン発生器内の圧力を適切な値に制御する
ことができる。よって、1台のガス生成器から複数の半
導体処理装置に各々適切な流量のガスを供給できるとと
もに、ガス生成器で適切な量のガスが生成できるよう制
御することができる。その結果、1台のガス生成器のガ
ス発生容量をアップするのみで複数の半導体処理装置に
ガスを安定的に供給することができ、安価でコンパクト
なシステムを提供できる。
【0095】この実施の形態では、図9に示すように、
3つガス供給管401〜403に分岐する前のガス供給
通路にバッファータンク91及び圧力調整器92を設け
るようにしているが、3つのガス供給管401〜403
の各々にバッファータンク及び圧力調整器を設けるよう
にしてもよい。
【0096】また、この実施の形態6では、ガス供給通
路にバッファータンクと圧力調整器とを設け、これらに
よりガス供給通路のガス生成器側の圧力を制御するよう
にしているが、図10に示すように、実施の形態2のガ
ス供給システムにおけるガス供給通路にバッファータン
クと圧力調整器とを設けるようにしてもよい。
【0097】実施の形態7.この実施の形態7のガス供
給システムは、実施の形態6のガス供給システムの各ガ
ス供給管に弁を設けたもので、処理装置へのガス供給の
有無を各処理装置毎に独立に制御するものである。
【0098】図11はこの実施の形態7の半導体装置の
製造工程におけるガス供給システムを示す概略構成図で
ある。図に示すように、図9に示したガス供給システム
に加えて、半導体処理装置501〜503にガスを供給
する各々のガス供給管401〜403に空圧弁(弁及び
弁の開閉を制御する開閉制御器を含む)421〜423
を設けている。ここでは、空圧によって弁の開閉を制御
する空圧弁を用いているが、これは特に限定するもので
はなく電磁弁等の他の手法で動作する弁を用いてもよ
い。
【0099】このように各ガス供給管401〜403に
空圧弁421〜423を設けることで、同一のガス生成
器31で生成されるガスを、複数の半導体処理装置50
1〜503に供給できるとともに、ガスの供給が不要な
半導体処理装置に対しては、その半導体処理装置へガス
を供給するガス供給管の弁を閉じるだけでその供給を停
止させることができ、ある半導体処理装置へのガスの供
給を継続させながら、他の半導体処理装置へのガスの供
給を停止させることができる。よって、複数の半導体処
理装置での処理を独立して行わせることができ、ガス供
給を効率よく運用することができる。
【0100】また、この実施の形態7では、ガス供給通
路にバッファータンクと圧力調整器とを設け、これらに
よりガス供給通路のガス生成器側の圧力を制御するよう
にしているが、図12に示すように、実施の形態3のガ
ス供給システムにおけるガス供給通路にバッファータン
クと圧力調整器とを設けるようにしてもよい。
【0101】実施の形態8.実施の形態5〜7のガス供
給システムでは、1つの半導体処理装置に対してのガス
の供給は、1つのガス供給配管を介して行うようにして
いるが、この実施の形態8のガス供給システムは、1つ
の半導体処理装置に対してのガスの供給を複数のガス供
給管を介して行うようにし、これら各ガス供給管の流量
並びにこれら各ガス供給管からのガス供給の有無を各々
独立に制御するようにしたものである。
【0102】図13はこの実施の形態8の半導体装置の
製造工程におけるガス供給システムを示す概略構成図で
ある。図に示すように、オゾン発生装置3内には、ガス
出力管35に接続され、ガス供給口からガスを半導体処
理装置5に供給する互いに並列に配管されたガス供給通
路としての配管404と配管405とが設けられてい
る。
【0103】これらの配管404、405には、各々ガ
ス供給通路に流れるガスの流量を制御するガス流量制御
器としてのマスフロー流量制御器(MFC)414、4
15と、空圧によって弁の開閉を制御する空圧弁42
4、425が設けられている。ここでは、空圧によって
弁の開閉を制御する空圧弁を用いているが、これは特に
限定するものではなく電磁弁等の他の手法で動作する弁
を用いてもよい。
【0104】なお、ガス供給通路におけるガス生成器と
ガス流量制御器との間に、バッファータンク91と圧力
ヘッドノズル92等の圧力調整器とを設けることも含
め、他は実施の形態5と同様である。
【0105】このように構成することで、各ガス供給管
404、405の流量を各々独立に制御するとともに弁
424、425を制御することで、適切なガス流量のガ
スが瞬時に得られるように制御することができる。すな
わち、MFC414、415を制御することで、配管4
04、405から供給されるガスのガス流量を各々独立
に制御することができ、さらに、空圧弁424、425
の開閉を制御することで、配管404からガスを供給す
るのか、逆に、配管405からガスを供給するのかを制
御することができ、例えば実施の形態4で説明したよう
に、時分割的にオゾンガスを半導体処理装置5に供給す
ることができる。
【0106】この実施の形態8では、1つの半導体処理
装置に対してのガスの供給を複数のガス供給管を介して
行うようにし、これら各ガス供給管の流量を各々独立に
制御するとともに、どのガス供給管からガスを供給させ
るかを制御するようにしているので、1つの処理装置に
異なるガス流量のガスを供給することができ、さらに、
弁の開閉を制御することで、異なるガス流量に瞬時に切
り換えることができるので、例えば半導体処理のスルー
プットを向上させることができる。
【0107】また、この実施の形態では、実施の形態5
のように半導体処理装置が1つの場合について説明した
が、これは特に限定するものではなく、実施の形態6、
7のように複数の半導体処理装置のものに適用してもよ
い。
【0108】また、この実施の形態8では、ガス供給通
路にバッファータンクと圧力調整器とを設け、これらに
よりガス供給通路のガス生成器側の圧力を制御するよう
にしているが、図14に示すように、実施の形態4のガ
ス供給システムにおけるガス供給通路にバッファータン
クと圧力調整器とを設けるようにしてもよい。
【0109】実施の形態9.この実施の形態9のガス供
給システムは、実施の形態1〜8のガス供給システムに
異常対策機能を施したもので、特に、ガス発生器内の圧
力が所定値以上の圧力になった場合に、異常対策を施す
ものである。
【0110】図15はこの実施の形態9の半導体装置の
製造工程におけるガス供給システムを示す概略構成図
で、図8に示したガス供給システムに異常対策機能を施
したものである。図に示すように、オゾン発生器(圧力
容器)31中に排圧弁36と、オゾン発生器31が所定
圧力以上になると接点信号がONとなる圧力計37とを
設けている。なお、ここでは、図8に示したガス供給シ
ステムに異常対策を施した例を示しているが、この異常
対策を他の実施の形態のガス供給システムに適用しても
よい。
【0111】この圧力計37が所定圧力以上になると排
圧弁36が動作し異常圧力の抑制及び異常圧力信号を出
力するようにし、オゾン発生器31のオゾナイザ電源3
2の停止もしくはオゾン発生量の抑制指令を出力するよ
うになっている。
【0112】このように、異常に圧力が高くなった場合
の安全対策を施すことで、半導体装置の製造工程等のガ
ス供給システムを自動運転させることができ、信頼性の
高いシステムを提供できる。
【0113】実施の形態10.この実施の形態10のガ
ス供給システムは、実施の形態1〜9のガス供給システ
ムに異常対策機能を施すようにしたもので、特に、ガス
発生器内の圧力が所定値以下の圧力になった場合に、異
常対策を施すものである。
【0114】図16はこの実施の形態10の半導体装置
の製造工程におけるガス供給システムを示す概略構成図
で、図8に示したガス供給システムに異常対策を施した
ものである。図に示すように、オゾン発生器31の圧力
を検出し圧力信号を出力する圧力計38と、圧力信号を
受けてオゾン発生量の増減指令をオゾナイザ電源32及
び原料ガスのガス流量を制御するマスフロー流量制御器
(MFC)13、23に送信する制御回路39とが設け
られている。なお、ここでは、図8に示したガス供給シ
ステムに異常対策機能を施した例を示しているが、この
異常対策を他の実施の形態のガス供給システムに適用し
てもよい。
【0115】圧力計38によりオゾン発生器31内の圧
力を検出し、オゾン発生器31の動作範囲内であれば、
実施の形態1〜4のような通常の制御を行う。検出した
圧力が動作範囲外の圧力(異常低下圧力)になると、制
御回路39が異常圧力低下信号を出力し、オゾナイザ電
源32の停止、オゾン発生量の増加指令によるオゾナイ
ザ電源32の出力上昇、または、マスフロー流量制御器
(MFC)13、23の制御による原料ガス流量の増加
等の処理により、オゾン発生器31内の圧力を増加させ
る方向にフィードバック制御させる。なお、このフィー
ドバック制御には、公知の手法を用いればよい。
【0116】このように、異常に圧力が低くなった場合
の安全対策やガス発生量保証対策を施すことで、半導体
装置の製造工程等のガス供給システムを自動運転させる
ことができ、信頼性の高いシステムを提供できるととも
に、その制御範囲を広くすることができるので、効率的
で信頼性の高いシステムを提供することができる。
【0117】
【発明の効果】本発明にかかるガス供給システムは、ガ
スを生成するガス生成器と、上記ガス生成器から出力さ
れるガスを供給するガス供給通路と、上記ガス供給通路
に設けられ上記ガス供給通路に流れるガスの流量を制御
するガス流量制御器と、上記ガス供給通路と並列して設
けられ上記ガス生成器から出力されるガスを排出するガ
ス排出通路と、上記ガス排出通路に設けられ上記ガス排
出通路に流れるガスの圧力を制御する圧力制御器とを備
えているので、供給するガスのガス流量とガス生成器で
発生されるガス量とを適正なものにすることができる。
【0118】また、本発明にかかるガス供給システム
は、ガスを生成するガス生成器と、上記ガス生成器から
出力されるガスを供給するガス供給通路と、上記ガス供
給通路に設けられ上記ガス供給通路に流れるガスの流量
を制御するガス流量制御器と、上記ガス生成器と上記ガ
ス流量制御器との間のガス供給通路に設けられたバッフ
ァータンクと、上記ガス生成器と上記ガス流量制御器と
の間のガス供給通路に設けられ上記ガス供給通路に流れ
るガスの圧力を調整する圧力調整器とを備えているの
で、供給するガスのガス流量とガス生成器で発生される
ガス量とを適正なものにすることができる。
【0119】また、圧力制御器が、ガス生成器内の圧力
が一定になるようにガス排出通路に流れるガスの圧力を
制御する場合には、ガス生成器内で安定してガスを生成
させることができる。
【0120】また、圧力調整器が、ガス生成器内の圧力
が一定になるようにガス供給通路に流れるガスの圧力を
制御する場合には、ガス生成器内で安定してガスを生成
させることができる。
【0121】また、ガス生成器内の圧力を測定する圧力
計を設け、上記圧力計で測定された圧力値が所定圧力範
囲から外れたときに異常対策を施す場合には、ガス供給
システムを自動運転させることができ、信頼性の高いシ
ステムを提供できる。
【0122】また、ガス供給通路が、並列して設けられ
た複数のガス供給管と、これらの各ガス供給管に設けら
れガス供給管に流れるガスの流量を制御するガス流量制
御器とを含むようにした場合には、複数のガス供給管か
ら安定したガスを供給することができる。
【0123】また、複数のガス供給管が各々接続される
複数の処理装置を備えた場合には、複数の処理装置に安
定したガスを供給することができる。
【0124】また、各ガス供給管に、弁と、上記弁の開
閉を制御する開閉制御器とを備えた場合には、弁を閉状
態にすることで、その弁を有する配管からのガスの供給
を停止することができるので、他の供給管でのガスの供
給状態に関係なく、ガスの供給・停止を任意に行うこと
ができる。
【0125】また、複数のガス供給管に接続される処理
装置を備えた場合には、1つの処理装置に異なるガス流
量のガスを供給することができる。
【0126】また、複数のガス供給管が、第1のガス流
量のガスを供給する第1のガス供給管と、第1のガス流
量とは異なる第2のガス流量のガスを供給する第2のガ
ス供給管とを含むようにした場合には、1つの処理装置
に異なるガス流量のガスを供給することができることに
加え、異なるガス流量に瞬時に切り換えることができ
る。
【0127】また、本発明にかかるガス供給方法は、ガ
ス生成器から出力されるガスをガス供給通路を介して供
給するとともにガス排出通路を介して排出し、上記ガス
排出通路に流れるガスの圧力を制御することで、上記ガ
ス供給通路を介して供給されるガスの流量及び上記ガス
生成器内の圧力を制御するようにしているので、供給す
るガスのガス流量とガス生成器で発生されるガス量とを
適正なものにすることができる。
【0128】また、本発明にかかるガス供給方法は、ガ
ス生成器から出力されるガスをガス供給通路を介して供
給し、上記ガス供給通路に配置されたバッファータンク
と圧力調整器とにより上記ガス供給通路に流れるガスの
圧力を制御することで、上記ガス供給通路を介して供給
されるガスの流用及び上記ガス生成器内の圧力を制御す
るようにしているので、供給するガスのガス流量とガス
生成器で発生されるガス量とを適正なものにすることが
できる。
【0129】また、ガス供給通路が、並列して設けられ
た複数のガス供給管であって、これら複数のガス供給管
の流量を各々制御してガスを供給する場合には、複数の
ガス供給管から安定したガスを供給することができる。
【図面の簡単な説明】
【図1】 本発明の実施の形態1の半導体装置の製造工
程におけるガス供給システムを示す概略構成図である。
【図2】 本発明の実施の形態2の半導体装置の製造工
程におけるガス供給システムを示す概略構成図である。
【図3】 本発明の実施の形態3の半導体装置の製造工
程におけるガス供給システムを示す概略構成図である。
【図4】 本発明の実施の形態4の半導体装置の製造工
程におけるガス供給システムを示す概略構成図である。
【図5】 図4に示したガス供給システムにおける空圧
弁の動作、半導体処理装置へのオゾンガスの供給量の関
係を示すタイムチャートである。
【図6】 本発明の実施の形態5の半導体装置の製造工
程におけるガス供給システムを示す概略構成図である。
【図7】 本発明の実施の形態5の半導体装置の製造工
程における他のガス供給システムを示す概略構成図であ
る。
【図8】 本発明の実施の形態5の半導体装置の製造工
程における他のガス供給システムを示す概略構成図であ
る。
【図9】 本発明の実施の形態6の半導体装置の製造工
程におけるガス供給システムを示す概略構成図である。
【図10】 本発明の実施の形態6の半導体装置の製造
工程における他のガス供給システムを示す概略構成図で
ある。
【図11】 本発明の実施の形態7の半導体装置の製造
工程におけるガス供給システムを示す概略構成図であ
る。
【図12】 本発明の実施の形態7の半導体装置の製造
工程における他のガス供給システムを示す概略構成図で
ある。
【図13】 本発明の実施の形態8の半導体装置の製造
工程におけるガス供給システムを示す概略構成図であ
る。
【図14】 本発明の実施の形態8の半導体装置の製造
工程における他のガス供給システムを示す概略構成図で
ある。
【図15】 本発明の実施の形態9の半導体装置の製造
工程におけるガス供給システムを示す概略構成図であ
る。
【図16】 本発明の実施の形態10の半導体装置の製
造工程におけるガス供給システムを示す概略構成図であ
る。
【図17】 従来のガス供給システムを示す概略構成図
である。
【符号の説明】 1、2 配管 3 オゾン発生
装置 4、401〜405 ガス供給管 5、501〜503 半導体処理装置 6、601〜603 配管 7 配管 8 ガス排出管 11、21 ガス開閉弁 12、22 ガ
ス減圧弁 13、23 マスフロー流量制御器 31 オゾン発生器 32 高周波
高電圧電源 33 冷却装置 34 ガスフ
ィルター 35 ガス出力管 36 排圧弁 37、38 圧力計 39 制御回
路 41、411〜415 マスフロー流量制御器 61、611〜613 マスフロー流量制御器 62、621〜623 TEOS供給器 71、82 逆止弁 72 ガス分
解処理装置 81 自動圧力制御器 91 バッファータンク 92 圧力ヘ
ッドノズル 421〜425 空圧弁
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 3J071 AA02 BB11 BB14 CC03 EE01 EE24 FF11 4G042 CA01 CB23 CC23 5F045 AB32 AC09 AC11 BB20 EE02 EE04 EE05 EE17 EG06 GB06

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 ガスを生成するガス生成器と、上記ガス
    生成器から出力されるガスを供給するガス供給通路と、
    上記ガス供給通路に設けられ上記ガス供給通路に流れる
    ガスの流量を制御するガス流量制御器と、上記ガス供給
    通路と並列して設けられ上記ガス生成器から出力される
    ガスを排出するガス排出通路と、上記ガス排出通路に設
    けられ上記ガス排出通路に流れるガスの圧力を制御する
    圧力制御器とを備えたガス供給システム。
  2. 【請求項2】 ガスを生成するガス生成器と、上記ガス
    生成器から出力されるガスを供給するガス供給通路と、
    上記ガス供給通路に設けられ上記ガス供給通路に流れる
    ガスの流量を制御するガス流量制御器と、上記ガス生成
    器と上記ガス流量制御器との間のガス供給通路に設けら
    れたバッファータンクと、上記ガス生成器と上記ガス流
    量制御器との間のガス供給通路に設けられ上記ガス供給
    通路に流れるガスの圧力を調整する圧力調整器とを備え
    たガス供給システム。
  3. 【請求項3】 圧力制御器は、ガス生成器内の圧力が一
    定になるようにガス排出通路に流れるガスの圧力を制御
    する請求項1記載のガス供給システム。
  4. 【請求項4】 圧力調整器は、ガス生成器内の圧力が一
    定になるようにガス供給通路に流れるガスの圧力を制御
    する請求項2記載のガス供給システム。
  5. 【請求項5】 ガス生成器内の圧力を測定する圧力計を
    設け、上記圧力計で測定された圧力値が所定圧力範囲か
    ら外れたときに異常対策を施す請求項1又は請求項2に
    記載のガス供給システム。
  6. 【請求項6】 ガス供給通路は、並列して設けられた複
    数のガス供給管と、これらの各ガス供給管に設けられガ
    ス供給管に流れるガスの流量を制御するガス流量制御器
    とを含んでいる請求項1又は請求項2に記載のガス供給
    システム。
  7. 【請求項7】 複数のガス供給管が各々接続される複数
    の処理装置を備えた請求項6記載のガス供給システム。
  8. 【請求項8】 各ガス供給管に、弁と、上記弁の開閉を
    制御する開閉制御器とを備えた請求項6記載のガス供給
    システム。
  9. 【請求項9】 複数のガス供給管に接続される処理装置
    を備えた請求項8記載のガス供給システム。
  10. 【請求項10】 複数のガス供給管は、第1のガス流量
    のガスを供給する第1のガス供給管と、第1のガス流量
    とは異なる第2のガス流量のガスを供給する第2のガス
    供給管とを含んでいる請求項9記載のガス供給システ
    ム。
  11. 【請求項11】 ガス生成器から出力されるガスをガス
    供給通路を介して供給するとともにガス排出通路を介し
    て排出し、上記ガス排出通路に流れるガスの圧力を制御
    することで、上記ガス供給通路を介して供給されるガス
    の流量及び上記ガス生成器内の圧力を制御するガス供給
    方法。
  12. 【請求項12】 ガス生成器から出力されるガスをガス
    供給通路を介して供給し、上記ガス供給通路に配置され
    たバッファータンクと圧力調整器とにより上記ガス供給
    通路に流れるガスの圧力を制御することで、上記ガス供
    給通路を介して供給されるガスの流用及び上記ガス生成
    器内の圧力を制御するガス供給方法。
  13. 【請求項13】 ガス供給通路は、並列して設けられた
    複数のガス供給管であって、これら複数のガス供給管の
    流量を各々制御してガスを供給する請求項11又は請求
    項12に記載のガス供給方法。
JP2002008685A 2002-01-17 2002-01-17 ガス供給システム及びガス供給方法 Expired - Lifetime JP4071968B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002008685A JP4071968B2 (ja) 2002-01-17 2002-01-17 ガス供給システム及びガス供給方法
US10/210,872 US20030133854A1 (en) 2002-01-17 2002-08-02 System for supplying a gas and method of supplying a gas

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002008685A JP4071968B2 (ja) 2002-01-17 2002-01-17 ガス供給システム及びガス供給方法

Publications (2)

Publication Number Publication Date
JP2003212517A true JP2003212517A (ja) 2003-07-30
JP4071968B2 JP4071968B2 (ja) 2008-04-02

Family

ID=19191439

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002008685A Expired - Lifetime JP4071968B2 (ja) 2002-01-17 2002-01-17 ガス供給システム及びガス供給方法

Country Status (2)

Country Link
US (1) US20030133854A1 (ja)
JP (1) JP4071968B2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009188143A (ja) * 2008-02-06 2009-08-20 Hitachi Kokusai Electric Inc 基板処理装置
JP2011068560A (ja) * 2010-10-29 2011-04-07 Sumitomo Heavy Ind Ltd オゾン濃縮装置及びオゾン濃縮装置の運転方法
WO2011065088A1 (ja) * 2009-11-26 2011-06-03 東芝三菱電機産業システム株式会社 オゾンガス供給システム
WO2011065087A1 (ja) * 2009-11-26 2011-06-03 東芝三菱電機産業システム株式会社 オゾンガス供給システム
WO2012127670A1 (ja) * 2011-03-24 2012-09-27 東芝三菱電機産業システム株式会社 オゾンガス供給システム
WO2012140747A1 (ja) * 2011-04-13 2012-10-18 東芝三菱電機産業システム株式会社 窒素添加レス・オゾン発生ユニット及びオゾンガス供給システム
JP5627028B2 (ja) * 2009-11-26 2014-11-19 東芝三菱電機産業システム株式会社 オゾン発生ユニット及びオゾンガス供給システム
JP5627027B2 (ja) * 2009-11-26 2014-11-19 東芝三菱電機産業システム株式会社 オゾンガス供給システム
KR101486031B1 (ko) * 2013-08-13 2015-01-23 에이펫(주) 반도체 세정 장치의 버퍼 탱크
WO2017031257A3 (en) * 2015-08-17 2017-10-05 Ichor Systems, Inc. Fluid control system
JP2018193265A (ja) * 2017-05-16 2018-12-06 東芝三菱電機産業システム株式会社 オゾンガス発生装置

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3999059B2 (ja) * 2002-06-26 2007-10-31 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20060019029A1 (en) * 2004-07-20 2006-01-26 Hamer Kevin T Atomic layer deposition methods and apparatus
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140130922A1 (en) * 2012-11-12 2014-05-15 Intermolecular, Inc. Control Methods and Hardware Configurations for Ozone Delivery Systems
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
FR3026319A1 (fr) * 2014-09-26 2016-04-01 Centre Nat Rech Scient Dispositif d'alimentation en gaz d'un equipement de laboratoire et methode de distribution dans le dispositif
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2210362C3 (de) * 1972-03-03 1980-10-09 Linde Ag, 6200 Wiesbaden Selbsttätiges Druckregelventil
US3932266A (en) * 1973-12-12 1976-01-13 The Lummus Company Synthetic crude from coal
US5308433A (en) * 1991-04-11 1994-05-03 Matsushita Electric Industrial Co., Ltd. Apparatus and method for vapor growth
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
JP3174856B2 (ja) * 1993-05-07 2001-06-11 日本エア・リキード株式会社 混合ガス供給装置
TW288253B (ja) * 1994-02-03 1996-10-11 Aneruba Kk
TW350832B (en) * 1994-08-24 1999-01-21 Ebara Corp Method for generating ozone and its use
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
US5968588A (en) * 1997-03-17 1999-10-19 Applied Materials, Inc. In-situ liquid flow rate estimation and verification by sonic flow method
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
US5904170A (en) * 1997-05-14 1999-05-18 Applied Materials, Inc. Pressure flow and concentration control of oxygen/ozone gas mixtures
GB9712165D0 (en) * 1997-06-11 1997-08-13 Air Prod & Chem Processes and apparatus for producing a gaseous product
US5929324A (en) * 1997-08-08 1999-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for detecting leakage in a gas reactor
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
JP3507331B2 (ja) * 1998-05-20 2004-03-15 松下電器産業株式会社 基板温度制御方法及び装置
US6261374B1 (en) * 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100495551B1 (ko) * 1999-05-28 2005-06-16 동경 엘렉트론 주식회사 반도체 처리 시스템의 오존 처리 장치
US6645302B2 (en) * 2000-04-26 2003-11-11 Showa Denko Kabushiki Kaisha Vapor phase deposition system
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US20030213561A1 (en) * 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009188143A (ja) * 2008-02-06 2009-08-20 Hitachi Kokusai Electric Inc 基板処理装置
KR101378286B1 (ko) * 2009-11-26 2014-03-25 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 오존 가스 공급 시스템
CN102770369A (zh) * 2009-11-26 2012-11-07 东芝三菱电机产业系统株式会社 臭氧气体供给系统
WO2011065087A1 (ja) * 2009-11-26 2011-06-03 東芝三菱電機産業システム株式会社 オゾンガス供給システム
CN102666372A (zh) * 2009-11-26 2012-09-12 东芝三菱电机产业系统株式会社 臭氧气体供给系统
KR101392683B1 (ko) * 2009-11-26 2014-05-07 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 오존 발생 유닛 및 오존 가스 공급 시스템
US9056300B2 (en) 2009-11-26 2015-06-16 Toshiba Mitsubishi-Electric Industrial Systems Corporation Ozone gas generation unit and ozone gas supply system
WO2011065088A1 (ja) * 2009-11-26 2011-06-03 東芝三菱電機産業システム株式会社 オゾンガス供給システム
CN102770369B (zh) * 2009-11-26 2014-11-26 东芝三菱电机产业系统株式会社 臭氧发生单元及臭氧气体供给系统
JP5627027B2 (ja) * 2009-11-26 2014-11-19 東芝三菱電機産業システム株式会社 オゾンガス供給システム
US9186647B2 (en) 2009-11-26 2015-11-17 Toshiba Mitsubishi-Electric Industrial Systems Corporation Ozone gas generation unit and ozone gas supply system
US8778274B2 (en) 2009-11-26 2014-07-15 Toshiba Mitsubishi-Electric Industrial Systems Corporation Ozone gas supply system
JP5627028B2 (ja) * 2009-11-26 2014-11-19 東芝三菱電機産業システム株式会社 オゾン発生ユニット及びオゾンガス供給システム
JP2011068560A (ja) * 2010-10-29 2011-04-07 Sumitomo Heavy Ind Ltd オゾン濃縮装置及びオゾン濃縮装置の運転方法
JP5588974B2 (ja) * 2011-03-24 2014-09-10 東芝三菱電機産業システム株式会社 オゾンガス供給システム
WO2012127670A1 (ja) * 2011-03-24 2012-09-27 東芝三菱電機産業システム株式会社 オゾンガス供給システム
US8980189B2 (en) 2011-03-24 2015-03-17 Toshiba Mitsubishi-Electric Industrial Systems Corporation Ozone gas supply system
WO2012140747A1 (ja) * 2011-04-13 2012-10-18 東芝三菱電機産業システム株式会社 窒素添加レス・オゾン発生ユニット及びオゾンガス供給システム
KR101522483B1 (ko) * 2011-04-13 2015-05-21 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 질소 첨가리스·오존 발생 유닛 및 오존 가스 공급 시스템
US9067789B2 (en) 2011-04-13 2015-06-30 Toshiba Mitsubishi-Electric Industrial Systems Corporation Nitrogen-free ozone generation unit and ozone gas supply system
JP5524201B2 (ja) * 2011-04-13 2014-06-18 東芝三菱電機産業システム株式会社 窒素添加レス・オゾン発生ユニット及びオゾンガス供給システム
KR101486031B1 (ko) * 2013-08-13 2015-01-23 에이펫(주) 반도체 세정 장치의 버퍼 탱크
WO2017031257A3 (en) * 2015-08-17 2017-10-05 Ichor Systems, Inc. Fluid control system
JP2018193265A (ja) * 2017-05-16 2018-12-06 東芝三菱電機産業システム株式会社 オゾンガス発生装置

Also Published As

Publication number Publication date
JP4071968B2 (ja) 2008-04-02
US20030133854A1 (en) 2003-07-17

Similar Documents

Publication Publication Date Title
JP2003212517A (ja) ガス供給システム及びガス供給方法
US7244335B2 (en) Substrate processing system and substrate processing method
EP1899781B1 (en) Ozone system for multi-chamber tools
JP4964142B2 (ja) 高密度プラズマ適用のための高真空中での自己冷却ガス分配装置
US6157774A (en) Vapor generating method and apparatus using same
JP2004288916A (ja) Cvd装置
KR102339755B1 (ko) Cvd-반응기에서의 배기 가스 정화를 위한 장치 및 방법
US9624579B2 (en) Film forming apparatus, film forming method, and non-transitory computer-readable storage medium
JP4235076B2 (ja) 半導体製造装置および半導体製造方法
KR20020032341A (ko) 기상 증착 방법 및 장치
KR20130141428A (ko) 공정 챔버 압력 제어 시스템 및 방법
JP2008248395A (ja) プラズマ処理装置およびプラズマ処理装置の調圧方法
US20130270103A1 (en) Method Of Enabling And Controlling Ozone Concentration And Flow
CN110880463A (zh) 基板处理装置
WO2004042487A1 (ja) 液処理装置及び液処理方法
KR20150055881A (ko) 원료 공급 장치
US20180243687A1 (en) Gas treatment system
JPH10312968A (ja) 排気切換方法及び排気切換装置
KR100560772B1 (ko) 가스 공급 장치를 구비하는 반응 챔버 시스템
KR20040042959A (ko) 인터록 장치를 구비한 반도체 제조설비용 가스공급장치 및그 인터록 방법
CN114341399A (zh) 基板处理装置、半导体装置的制造方法、程序、记录介质以及废气处理系统
CN115083952A (zh) 调温单元和处理装置
WO2024035590A1 (en) Interlock system for processing chamber exhaust assembly
JP2002363755A (ja) プラズマ処理装置およびプラズマ処理装置の調圧方法
JPH0774105A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20040312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040604

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040803

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041214

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20041214

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080118

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4071968

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120125

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120125

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130125

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130125

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140125

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term