JP2002502027A - インサイチュ微粒子モニタの感度の増大 - Google Patents

インサイチュ微粒子モニタの感度の増大

Info

Publication number
JP2002502027A
JP2002502027A JP2000529592A JP2000529592A JP2002502027A JP 2002502027 A JP2002502027 A JP 2002502027A JP 2000529592 A JP2000529592 A JP 2000529592A JP 2000529592 A JP2000529592 A JP 2000529592A JP 2002502027 A JP2002502027 A JP 2002502027A
Authority
JP
Japan
Prior art keywords
particles
plasma
field
particle
volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000529592A
Other languages
English (en)
Inventor
アナンド グプタ,
ヴィジャユ パルクッヒ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002502027A publication Critical patent/JP2002502027A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/02Investigating particle size or size distribution
    • G01N15/0205Investigating particle size or size distribution by optical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Dispersion Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

(57)【要約】 インサイチュ微粒子モニタの感度を増加させるための方法および装置である。好ましくはレーザ光を使用する光散乱技術を用いて、プラズマに基づく基板処理システム(10)の処理チャンバ(15)内の粒子濃度をモニタする。処理チャンバ内に電場または磁場を発生させて、その中に浮遊する微粒子を集中させることによって、光照射野における微粒子濃度を増大させる。

Description

【発明の詳細な説明】
【0001】 (発明の背景) 本発明は、プラズマ処理チャンバ内の微粒子をモニタする方法に関するもので
ある。
【0002】 半導体装置が数十年前最初に導入された以来、薄膜で形成される半導体装置の
特徴サイズは劇的に小さくなっていった。この期間に、集積回路は一般に「2年
−半サイズ法則」(しばしば「ムーア法則」と呼ばれている)に従った。この法
則は、1つのチップ上に装着する半導体装置の数が2年ごとに2倍になることを
示す。現在の半導体製造プラントは0.5μmないし0.35μmの特徴サイズを有する 半導体装置をルーチン的に生産しており、将来のプラントはより小さな特徴サイ
ズを有する半導体装置を生産するであろ。
【0003】 特徴サイズが小さくなったと同時に、ダイサイズは増大した。現在の半導体装
置に用いられるより小さな特徴サイズおよびより大きなダイサイズはより大きい
ターゲットを効果的に創り、そのターゲットはより小さな微粒子により損害を受
けやすい。これらの影響のいずれも歩留りを減少させるので、微粒子汚染は次第
に増大する心配事となっている。薄膜の堆積またはエッチング中の微粒子の存在
は、ボイド、転位または短絡を引き起こし、製作される半導体装置の性能と信頼
性に不利な影響を与える。
【0004】 汚染物微粒子の問題について、最初は、クリーンルーム環境の品質の改善、材
料および半導体基板を取り扱うための自動化設備の使用によって処理されていた
。基板表面の洗浄も改善された。これらの改良は、処理サイクル中に処理環境内
または基板表面上に存在する微粒子の数を減らした。しかし、微粒子は、使用さ
れる処理材料、機械コンタクト(例えば、搬送操作中のロボット設備の中の表面
間にあるもの)、電気アークなどのソースによって、プロセスチャンバの中に発
生する可能性がある。
【0005】 特に、プラズマに基づく基板処理(例えば、物理気相堆積(PVD)、強化プラ ズマ化学気相堆積法(PECVD))高密度プラズマCVD(HDP-CVD)、および その他類似の処理)において、イオン、電子、微粒子などを含む種々の多数のフ
ラグメントが使用される処理ガスから発生する。これらのフラグメントは結合し
て、小さな負電荷、即ち、約104の負基本電荷(elementary charges)(1つの基本
電荷は単一の電子が所有する電荷である)を持つ微粒子を形成することができる
。さらに、残留物は、プラズマに基づく基板処理操中に、処理チャンバの内部の
表面上に堆積する可能性がある。これらの残留物は、処理ガスとチャンバとの反
応によって形成された重合体または化合物のような物質を含む可能性がある。続
いて、熱サイクリングなどによる応力は、膜を破壊し、膜が形成された表面から
膜を除去して微粒子を生成することもある。微粒子はまた、基板搬送操作中に、
構成部品の間の摩擦、熱膨張係数の相違および他の原因によって、処理チャンバ
内に生成する可能性がある。
【0006】 先行技術は、処理中に基板上への微粒子堆積を減少する様々な技術やプロセス
ステップの間で微粒子を除去する技術を教示している。しかし、これらの技術に
よって微粒子カウントを減少したにもかかわらず、微粒子が完全に処理チャンバ
から除去することができないので、微粒子濃度をモニタする必要が残されている
。従って、プロセスによって、処理チャンバを開放して、数箇所で機械的に(拭
くことによって)クリーニングする必要がある。そのようなクリーニング操作は
スループットを中断させるので、できるだけまれに実行されるべきである。一方
、チャンバが汚染微粒子にむやみに影響されやすくなると、歩留りに不利な影響
を及ぼす可能性がある。微粒子カウントの正確なモニタリングによって、チャン
バがクリーニングのために取り除かれる頻度の最適化を可能とし、且つそうしな
いと迅速に検出できない可能性がある破滅的破損を検出できるようにする。
【0007】 幾つかのタイプの微粒子モニタシーステムは半導体産業界で使用されている。
例えば、これらのシステムの1つのタイプは、排気ガス流にわたって高強度レー
ザ光線をあてて、散乱された光を検出することによって排出ガス流内の微粒子を
モニタするものである。散乱された光の量は、排気ガスにおける微粒子濃度の計
測を提供し、そして、推断によって、反応チャンバ内の微粒子カウントの計測を
提供する。
【0008】 排気ガスモニタはおそらく効果的であるが、それによって提供された情報は間
接的なものである。好ましくは、基板処理装置の操作者がインサイチュ(in-situ
)技術を用いて処理チャンバ内の微粒子濃度を直接測定するであろう。これは上 記の微粒子モニタ技術と類似する方法で行われるでもよいが、ここで、レーザ光
は処理されている基板の上方のスペースにチャンバを通して送られる。この類の
技術はA. Guptaに付与された米国特許第5328555号(「半導体装置処理中
の微粒子汚染の減少(Reducing Particulate Contamination during Semiconduc
tor Device Processing)」に記載されている。上記米国特許第5328555号
は、本発明の譲受人であるアプライド・マテリアルズ・インコーポレイテッドに
譲渡されている。よって、上記特許の全体を参照として本明細書に組み入れる。
【0009】 上記の米国特許第5328555号は、インサイチュ技術を用いる微粒子濃度
測定システムを記載している。そこに記載されるレーザ光散乱システムは、シス
テムの処理チャンバ内の微粒子濃度を観察し測定するなどの操作を可能とする。
測定システムのレーザは、光ファイバケーブルによってスキャナに接続される。
光ファイバケーブルは、回転ベース上に支持される立て軸につけられたレーザホ
ルダの中に取り付けらることができる。その発光が処理チャンバ内に存在する微
粒子によって散乱しうるものであれば、どのようなレーザを用いてもよい。
【0010】 スキャナは調節可能な立てステージに付けられたホルダに取り付けられて、照
明されたボリュームが処理されている基板の上方に異なる高さで位置されるよう
にする。レーザとスキャナは、チャンバビューポートと平行に置かれた光レール
上を滑る。この配置は、X. YとZ方向での調整を提供する。レーザ光照射野の整 合性は周波数発生器入力によって制御される。レーザ光照射野を生じるのはこの
振動である。振動の量(即ち、レーザ光照射野のサイズ)を、レーザ光照射野範
囲の開始位置を制御するDCオフセットで、スキャナへの電圧入力の振幅を変化さ
せることによって、変えることができる。
【0011】 レーザ光照射野は、処理チャンバ内の微粒子を照明する。これらの微粒子によ
ってこの光照射野の中のレーザ光が散乱され、その後カメラのような検出装置に
よって検出される。カメラは、第2のチャンバビューポートからのビューイング
距離の調整によって、様々な焦点距離を提供するように構成される。カメラの視
野内に存在する微粒子から散乱されたレーザ光は、その後モニタで表示されるよ
うな様々な手段で表示されて、微粒子の観察または散乱された光の強度の測定を
できるようにする。
【0012】 疑う余地なく、これからも特徴サイズが小さくなりつづけて、歩留まりを維持
するために、微粒子濃度の更なる減少が必要になる。また、より小さな特徴サイ
ズは、微粒子に起因する欠陥に対する増大した感度、欠陥を引き起こすのに必要
な微粒子サイズの減少、および一定の微粒子によるダメージの量の増大を意味す
る。このように、減少した微粒子濃度および微粒子サイズが正確に検出しなけれ
ばならないため、インサイチュ技術を用いても、それらの微粒子濃度を正確に測
定することが難しいであろうとわかった。そこで、必要なものは、既存および将
来のインサイチュ微粒子濃度感知技術の感度を増加する方法である。
【0013】 (発明の概要) 本発明は、インサイチュ微粒子モニタの感度を増加する方法および装置に関す
る。好ましくはレーザ光の散乱に基づく光学的技術は、プラズマに基づく基板処
理システム(例えば、PVDまたはPECVDシステム)の処理チャンバ内の微粒子濃度
をモニタするために用いられる。あるいは、微粒子と光との相互作用(例えば偏
光の変化)によって微粒子を検出する他の方法を本発明で用いられてもよい。処
理チャンバ内に電場又は磁場を発生させてその中に浮遊する微粒子を集中させる
ことによって、微粒子濃度はセンサの光照射野内で増加させられる。
【0014】 本発明の方法によれば、基板処理システムの処理チャンバ内の微粒子濃度をモ
ニタするインサイチュ微粒子モニタシステムが提供される。モニタは、処理チャ
ンバのガス含有領域に光を向けると共に該ガス含有領域の中に浮遊する微粒子を
照射する光源と、(例えば、吸収または反射による)微粒子との相互作用の後の
光を検出して微粒子濃度をモニタするための光学検出器と、光源と光学検出器の
ため、ガス含有領域への光学的アクセスを提供するように、処理チャンバの壁に
設けられる光学的透明な窓と、光源および光学検出器と共に1つの光路にあるボ
リュームの中に微粒子を集中するための微粒子コンセントレータとを備える。上
記の光源は、好ましくはレーザであるが、適切なものであれば、どんな光源でも
よい。
【0015】 微粒子コンセントレータは電場又は磁場のいずれかを用いて微粒子を集中して
もよく、これらの微粒子が処理チャンバ内のプラズマの中に浸入されるとき小さ
な電荷を得る。例えばプラズマからのエネルギを用いて、プラズマ中に乱れを生
じさせることにより電場を発生させてもよい。磁場は、トロイダル電磁石のよう
な外部作動ソースを用いて発生させてもよい。
【0016】 本発明の方法によれば、本発明のもう一つの様態は、光源によって照明される
ボリュームにおける微粒子の濃度を高めることによって光散乱微粒子濃度モニタ
の感度を改善する方法である。この方法は、まず基板処理システムの処理チャン
バ内でプラズマを発生させ、その後電場を用いて微粒子を捕捉し、それによって
電場に合った微粒子濃度を生じさせる。あるいは、類似の成果のため磁場を適用
してもよい。それによって、光散乱微粒子濃度モニタを用いて微粒子濃度をより
簡単にモニタすることができる。電場と磁場の組合せが微粒子を捕捉するために
用いられてもよい。
【0017】 本発明に関する更なる理解とその特徴及び利点は、添付図面を参照して本明細
書の以下の部分によって明らかになるでしょう。
【0018】 (発明の詳細な記載) 本発明は、プラズマに基づく基板処理システムの中の微粒子濃度のインサイチ
ュモニタ感度を改善する方法および装置である。本発明は、電場或は磁場の使用
によってプラズマの中に浮遊する微粒子を集中させて、インサイチュ光散乱技術
を用いて、より簡単な検出を実現する。微粒子濃度は、所定の微粒子が、光源に
よって照明されたボリュームを通る或は該ボリュームに残る確率を増加すること
によって増大される。本発明は、通常の設計の基板処理チャンバで実施可能であ
る。
【0019】 例示的な CVDシステム 本発明が実施可能な1つの適切なCVDシステムは図1Aと図1Bで示される。 図1Aと図1B は、チャンバ壁15aとチャンバリッドアセンブリー15bとを含 む真空或は処理チャンバ15を有する化学気相堆積(CVD)システム10を示
す縦断面図である。チャンバ壁15aとチャンバリッドアセンブリ15bは分解斜
視図である図1Cおよび図1Dで示される。
【0020】 CVDシステム10は、基板(図示せず)に処理ガスを分散させるためのガス
分配マニホルド11を含み、上記基板は、処理チャンバ内の中心に置かれた加熱
されたペデスタル12の上に載せられている。処理中に、基板(例えば半導体ウ
ェーハ)はペデスタル12の平らな(またはわずかに凸面の)表面12aに配置 される。ペデスタル12は、(図1Aで示される)下側のロード/オフロード位置
と(図1Aおよび図1Bの点線14で示される)上側の処理位置との間で制御可能
に移動することができ、該処理位置はマニホルド11に密接に隣接している。セ
ンターボード(図示せず)は、基板の位置に関する情報を提供するセンサを含む 。
【0021】 堆積およびキャリヤガスは、従来の平円形のガス分配フェイスプレート13a に穿孔されたホール13b(図1D)を通してチャンバ15内に導入される。よ り具体的に、堆積処理ガスは、(図1Bの矢印40で示されるように)マニホル ド11を通し、そして従来の穿孔されたブロッカープレート42を通し、さらに
ガス分配フェイスプレート13aのホール13bを通してチャンバに流入する。マ
ニホルドに到達する前に、堆積およびキャリアガスはガスソース7からガス供給
ライン8を通して混合システム9にインプットされ、混合システム9で組合わせ
た後に、マニホルド11に送られる。一般に、各処理ガスの供給ラインは、(1
)チャンバ内部への処理ガス流を自動的にまたは手動的に遮断できるように用い
られる数個の安全遮断弁(図示せず)および(2)供給ラインを通すガスの流れ
を測定する流体質量制御器(図示せず)を含む。プロセスに有毒ガスが使われる
場合、上記の数個の安全遮断弁は、従来構成のガス供給ラインの各々に配置され
る。
【0022】 CVDシステム10で行われる堆積処理は、熱処理か強化プラズマ処理のいず
れでもよい。強化プラズマ処理には、高周波(RF)電源44がガス分配フェイ
スプレート13aとペデスタル12との間に電力を印加して、処理ガス混合物を 励起させ、フェイスプレート13aとペデスタル12との間の円筒形領域にプラ ズマを生成させる。(この領域は、以下「反応領域」と称する。)プラズマの構
成要素が反応して、ペデスタル12に支持される基板の表面上に望まれる膜を堆
積する。RF電源44は混合周波数RF電源であり、典型的に、13.56MHzの
高いRF周波数(RFl)および360KHzの低いRF周波数(RF2)で電力を供給して、チ
ャンバ15に導入された反応種の分解を促進するものである。
【0023】 堆積処理中に、プラズマは、排気通路23と遮断弁24を包囲する壁15aの 部分を含むチャンバ15を加熱する。プラズマがONにされてないとき、チャンバ
15を高い温度に維持するために、熱い液体を壁15aを通して循環させる。壁 15aを加熱するために用いられる流体は典型的な流体タイプ(即ち、水系エチ レングリコールまたは油系熱搬送流体)を含む。この加熱は、望ましくない反応
生成物の凝縮を有益に減少または除去すると共に、冷却真空通路の壁に凝結し、
ガスが流れてない間に処理チャンバの中へ戻ってプロセスを汚染しうる揮発性生
成物の除去を改善する。
【0024】 層に堆積しないガス混合物の残留物(反応生成物を含む)は、真空ポンプ(図
示せず)によって、チャンバから排出される。具体的に、それらのガスが、反応
領域を囲む環状スロット形オリフィス16を介して、環状の排気プレナム17の
中へ排出する。オリフィス16とプレナム17は、壁15aの上面(壁15a上の
上側誘電ラインング19を含む)と円状のチャンバリッド20の底面との間のギ
ャップによって画成される。均一な膜を基板に堆積するために基板の上に処理ガ
スを均一に流することを達成するには、オリフィス16とプレナム17の360
度円形対称と均一性がとっても重要である。
【0025】 排気プレナム17からのガスは、排気プレナム17の横延長部21の下に流れ
、下方へ広がっているガス通路23を通して、(その本体が壁15aの下側部分 と一体化されている)真空遮断弁24を通過して、フォアライン(図示せず)を
介して外部の真空ポンプ(図示せず)に接続される排気出口25の中へ流れる。
【0026】 (好ましくはアルミニウム製の)ペデスタル12の基板支持盤は、ダブルフル
ターン・シングルループ(double full-turn single-loop)が埋設された加熱素子
によって加熱され、該加熱素子は平行の同心円を形成するように構成される。加
熱素子の外側部分は支持盤の周囲部に隣接して、その内側部分はより小さな半径
を持つ同心円の経路上にある。加熱素子への配線はペデスタル12のステムを貫
通する。
【0027】 典型的に、チャンバライング、ガスインレットマニホルドフェースプレートお
よびその他種々のリアクタハードウェアのいずれか或は全ては、アルミニウムや
アノード処理されたアルミニウムから製造される。そのようなCVD装置の1つ
の例は、Zhaoらに付与された米国特許第5558717号(「CVD処理チャンバ 」(CVD Processing Chamber))で記載されている。上記米国特許第5558 717号は、本発明の譲受人であるアプライド・マテリアルズ・インコーポレイ
テッドに譲渡されている。よって、該米国特許の全体を参照として本明細書に組
み入れる。
【0028】 基板がロボットブレード(図示せず)によってチャンバ15の側面にある挿入
・除去口26を通してチャンバの本体内へまたはチャンバの本体から搬送される
際、リフト機構とモーター32はペデスタル12およびその基板リフトピン12
bを昇降させる。モーター32はペデスタル12を処理位置14と下側の基板ロ ード位置との間に昇降させる。モーター32、供給ライン8に接続される弁また
は流量調節器、ガス配送システム、スロットルバルブ、RF電源44、およびチャン
バと基板の加熱システムは、全て制御線36の上方にあるシステムコントローラ
34によって制御される。該コントローラの一部のみが図示されている。コント
ローラ34は、光センサからのフィードバックに基づいて、コントローラ34の
制御下で適切なモーターによって移動されるスロットルバルブおよびサセプタの
ような移動可能な機械的アセンブリの位置を決定する。
【0029】 好ましい実施例において、システムコントローラはハードディスクドライブ(
メモリ38)、フロッピーディスクドライブおよびプロセッサ37を備える。プ ロセッサ37は、シングルボードコンピュータ(SBC)、アナログおよびデジタ ル入出力ボード、インターフェースボードとステッパモーターコントローラボー
ドを含む。CVDシステム10の種々の部分は、ボード、カードケージとコネク
タの寸法および種類を規定するバルサ・モジュラ・ヨーロッパ(Versa Modular
European(VME))標準に従う。VME標準は16ビットのデータバスと24ビットの
アドレスバスを持つバス構造も規定する。
【0030】 システムコントローラ34は、CVD装置の全ての動作を制御する。システム
コントローラはシステム制御ソフトウェアを実行する。該ソフトウェアは、メモ
リ38のようなコンピュータ読取り可能な媒体に保存されるコンピュータープロ
グラムである。メモリ38は好ましくハードディスクドライブであるが、他の種
類のメモリであってもよい。上記のコンピュータープログラムは、特定のプロセ
スにおけるタイミング、ガスの混合物、チャンバ圧力、チャンバ温度、RF電力レ
ベル、サセプタ位置および他のパラメータを指示する数セットのインストラクシ
ョンを含む。他のメモリ装置(例えば、フロッピーディスクまたはその他の適切
なドライブ)に保存された他のコンピュータープログラムを用いてコントローラ
34を操作してもよい。
【0031】 ユーザーとコントローラ34との間のインタフェースは、図1E に示されるCR
T表示装置50aとライトペン50bを介している。図1Eは, 1つ以上のチャンバ
を備える基板処理システムにおけるシステム表示装置とCVDシステム10を示
す概略図である。好ましい実施例では、2つの表示装置50aが用いられる。1 つはオペレーター用にクリーンルームの壁の中に取り付けられ、もう1つはサー
ビステクニシャン用に壁の後ろに取り付けられる。2つの表示装置50aは同時 に同じ情報を表示するが、1つだけのライトペン50bを用いることもできる。 ライトペン50bの先端にある光センサはディスプレイ50によって発される光 を検出する。特定の画面或は機能を選択するために、オペレーターは表示装置の
スクリーンの指定エリアにタッチしてペン50bの上のボタンを押す。タッチさ れたエリアはそのハイライトカラーが変え、あるいは、新しいメニューまたは画
面が表示されて、ライトペンと表示装置スクリーンとの間のコミュニケーション
を確認する。ユーザーがコントローラ34とコミュニケーションできるように、
ライトペン50bの代わりに或はそれに加えて他の装置(例えばキーボード、マ ウスまたは他のポインティングやコミュニケーション装置等)を用いてもよい。
【0032】 上記のリアクタに関する記載は実例で説明するためのものであり、PVD装置
および他のプラズマCVD装置等のような他の半導体処理装置も本発明で提供さ
れる検出能力から利益を得られる。また、上記のシステムの変更、例えばサセプ
タの設計、加熱器の設計、RF電力周波数、RF電力接続位置等における変更は可能
である。本発明によって提供される微粒子検出の強化は、特定の装置或は特定の
プラズマ励起方法に限定することがない。
【0033】 本発明の方法によるインサイチュ微粒子検出の改善 上記のように、基板処理システム内で生成される微粒子は汚染の可能性があり
歩留りへの不利な影響をもたらすので、重大な問題である。これらの微粒子をレ
ーザ光散乱(LLS)技術によって検出できるが、縮小しつつある特徴サイズは、 そのような検出システムのより高い感度を要求する。本発明の方法と装置は、プ
ラズマに基づく基板処理システムにおけるこのような増大された感度を提供する
【0034】 多くの場合、微粒子は、多種の共通基板処理システム内で生成されたプラズマ
に存在する。そのようなプラズマの中の微粒子は、電気的力、衝突的力、重力お
よびその他の力の組合せにさらされる。これらの効果は図2で示される。図2は
、図1Aのチャンバ15に対応する処理チャンバ205内の微粒子200が受け る力を図示している。 微粒子200の直径は、数ナノメートルから何十マイク
ロメートルまでの範囲にある。
【0035】 微粒子200は、多様の力によって垂直と水平の両方に局限される。多くの場
合、微粒子200は円形ディスクの中に局限され、該円形ディスクは通常、基板
215の直上、且つ図1Aのペデスタル12とチャンバリッドアセンブリ15b にそれぞれ対応するカソード210とアノード220との間にある。容易に説明
するために、図2のカソード210とアノード220が水平に配置され、カソー
ド210はアノード220の下にあると仮定する。
【0036】 水平方向に、微粒子200は粘性抵抗225による外向き力を受ける。粘性抵
抗225は反応物とキャリヤガスの流れに起因する。この流れは通常、基板21
5の上の中央ソースを介して(例えば、図1Aと図1Bで示されるように、ガス分 配フェイスプレート13によって)プロセスチャンバ205に進入し、半径方向 で基板215を横切って流れ、そして基板215の縁部で排気される(例えば、
図1Aと図1Bで示されるに、排気プレナム17を通して排気される)。
【0037】 垂直方向に、幾つかの力は微粒子200に作用する。多くの場合、アノード2
20はカソード210より冷たいので、サーモホン(thermophoretic)力240は
微粒子200に作用する。サーモホン力は、微粒子を比較的熱い領域から比較的
冷たい領域へ押しつける。図2で、これは微粒子がカソード210からアノード 220の方へ押し付けられることを意味する。イオン抵抗力250および重力2
60はサーモホン力240に対抗する。重力260は単に微粒子200の重さに
よってカソード210の方へ力を与る(大抵の基板処理システムにおいて、その
力は下向きである)。イオン抵抗力250は基板処理理操作中に処理チャンバ2
05内に生成されたイオンによって微粒子に与えられて、微粒子をカソード21
0の方へ引く。
【0038】 最後に、静電反発力270は、微粒子200をカソード210から押し離れる
(大抵の基板処理システムにおいて、この力は上向きである)。既に討論された
ように、微粒子200がプラズマ275の中に浸入されているとき小さな負電荷
を獲得するので、微粒子200はカソード210から強制的に離される。図2で
示されるように第1のシース280と第2のシース285とグロー領域290と
を含むプラズマ275は上記の電荷のソースである。重力260は微粒子をカソ
ード210の方へ引っ張る傾向があると同時に、第1のシース280に起因する
静電反発力270は微粒子をカソード210 からを押しのける傾向がある。適 切なパラメータを与えれば、微粒子は、グロー領域200の中心と基板215と
の間且つ第1シース280とグロー領域200との境界295の近くに留まる傾
向を示す。このように、前記の力のネット効果が微粒子を電極のうちの一方へ押
しつけるか半径方向に逃げさせない限り、微粒子200は境界295の周囲領域
の近くに留まる。残念なことに、微粒子が処理チャンバ205のボリューム全体
にわたて均一に分散していないけれども、低微粒子濃度および小さな微粒子に対
して敏感なプロセスにおける正確な微粒子検出をできるようにするには、微粒子
200が十分に集中されていない可能性ある。
【0039】 図3A(側面図)と3B(平面図)は、そのような微粒子の検出をできるよう
にする本発明の1つの実施例を図示する。本実施例で、微粒子300は基板30 5の上且つ(図1Aの)チャンバリッドアセンブリ15bの下にある環帯の中に 集中される。基板305の周りに同心状に配置された金属スペースリング310
と311および誘電リング320によって生成した電場の結果として、微粒子3
00は環帯の中に集合する。誘電リング320は、プラズマに基づく処理の環境
極値を耐えられる且つ処理チャンバ内に存在する微粒子濃度に重大な貢献を与え
ない絶縁体(例えばアルミナ、石英、アルミニウム窒化物)から構成される。図
3Aおよび3Bで示すように、微粒子300は環帯の中へ追い込まれる。(図1で
示されるような)処理チャンバ15内の微粒子が一旦環帯の中に集合すると、こ
れらの微粒子の濃度は前の状態(集中されていない状態)と比べて大きくなって
いる。そしてレーザ光散乱技術を用いて環帯のボリュームの中に追い込まれた微
粒子300を検出する。以前に記載されたよう、レーザ330からのレーザ光は
、レーザ光をボリュームにわたって広げるスキャナ335を通るように導かれる
。その後、レーザ光はライトパス340に沿って導かれ、チャンバ壁15aにあ る第1の窓341を通ってチャンバ15に進入し、そして微粒子300によって
散乱されて、第2の窓343を通して出る。散乱されたレーザ光は、光センサ装
置345によって検出される。
【0040】 図3Aと図3Bのチャンバ15には、それぞれ入射するレーザ光および出るレー
ザ光のための2つの窓(第1の窓341と第2の窓343)が設けられているが
、これらの2つの窓が結合して単一の窓(図示せず)になることもできる。この
単一の窓は、第1の窓341の位置から第2の窓343の位置まで延長してよい
。あるいは、単一の窓は、単に2つの窓の高さでチャンバ15の周囲部全体のま
わりで延長してもよい。チャンバ壁15aの適当な変更(例えば、チャンバビュ ーポートの追加)によって、本発明は化学気相堆積システム10のような基板処
理システムに実施できるようになる。
【0041】 また、本発明は、偏光検出のような微粒子感知技術を用いてもよい、そこで、
衝突光と集中された微粒子との間の相互作用は光の偏光を変更させる。偏光検出
技術を用いて、検出微粒子のサイズを推定することも可能である。
【0042】 感知は、チャンバ15の中の微粒子によって散乱されたレーザ光の量を測定す
ることによって行われる。前述のように、基板処理技術の進歩はチャンバ15の
中に存在する微粒子の数を減らし続けると同時に、より小さな微粒子の検出も必
要とする。本発明は、ライトパス340によって照明されるボリュームの中の微
粒子濃度を高めることで、より低い微粒子濃度およびより小さな微粒子の改善さ
れた検出を提供する。従って、チャンバ15内の所定の数の微粒子について、本
発明によって、その以外の場合と比べて多くの微粒子300がライトパス340
に位置されて供給されたレーザ光を散乱させる。センサ装置345からの出力を
用いて、微粒子集中がいつ許容できないレベルまで上昇したのかを測定すること
ができる。例えば、(チャートレコーダを用いて)この出力を恒常にモニタして
、歩留り分析のような目的で微粒子集中を追跡することができる。
【0043】 さらに、その出力が閾値に関してモニタされてもよく、微粒子濃度はこの閾値
を越えて上昇してはならない。光センサ装置345からの出力はこの閾値に達し
た或は超したとき、クリーニング操作は過剰な微粒子を除去するために行われる
。例えば、微粒子濃度が数枚の基板を処理する過程にわたって増加する場合、閾
値の使用は重要である。ある数の基板について、微粒子濃度は、所定の(許容で
きる)レベルでかなり安定のままである。しかし、ある時点で、処理残留物は処
理チャンバにおいて、チャンバ内の微粒子濃度が許容できないレベルへの上昇を
もたらす程度まで累積する。これが起きたとき、一回以上のクリーニング操作が
行わなければならない。本発明のLLSシステムは、閾値を適切なレベルに設定
して上記のような状態を検出するように構成されることができる。そのようなシ
ステムは、アラーム、コントロールディスプレイ上の表示器或は類似の技術によ
って、許容できない微粒子濃度を表示する。
【0044】 この実施例では、微粒子300は誘電リング320の上に環帯の中に集中され
る。微粒子300はこのように集中される理由は、誘電リング320の上に存在
するエレクトロポテンシャルウェル(electropotential well)にある。周囲のス ペースの電流密度より低い電流密度を持つボリュームは、その電荷電位が周囲ス
ペースの電荷電位より低いため、「エレクトロポテンシャルウェル」と呼ばれる
。エレクトロポテンシャルウェルは下記のように形成される。電流密度(例えば
、図3Aで示す装置の電極間の電流密度)は部分的に各電極の製作に用いられる 材料のRFインピーダンスによって決定され、各材料のRFインピーダンスは、
続いて、その材料の誘電率の関数(()によって決定される。材料の誘電率は高 ければ高いほど材料のRFインピーダンスが大きくなり、この材料と反対側の電
極との間での電流密度が低くなる。電流密度勾配が、異なる電流密度を持つ隣接
するボリュームの間に存在する。そのような勾配は、負帯電された微粒子(例え
ば、チャンバ15内のプラズマの中にある微粒子)を高い電流密度を有するボリ
ュームから低い電流密度を有するボリュームの中へ押しつける傾向がある。
【0045】 従って、図3Aと3Bに示される各リングとガス分配フェイスプレート13a
との間の電流密度は、部分的に、各リングが製作される材料に依存する。誘電リ
ング320が絶縁体(即ち、誘電体)から製作され、金属スペースリングが導体
(即ち、1つ以上の金属、合金等)から製作されるので、誘電リング320は金
属スペースリング310と311より高い誘電率を有する。従って、誘電リング
320は、金属スペースリング310と311より大きいRFインピーダンスを有
する。その結果、金属スペースリング310と311の上のボリュームに存在す
る電流密度は誘電リング320の上のより実質的に高い。
【0046】 その結果、チャンバ15内の微粒子は、誘電リング320の上に集まる傾向が
ある。実際に、低い誘電率材料(例えば、金属スペースリング310と311)
が誘電リング320を包囲することによって、金属スペースリング310と31
1の上のボリュームにおける高い電流密度が誘電リング320の上のボリューム
における低い電流密度を包囲することになり、従って、誘電リング320の上の
ボリュームにエレクトロポテンシャルウェルが形成される。その効果として、誘
電リング320の上のボリューム内の微粒子(即ち、微粒子300)のトラッピ
ングが強化される。前記の電流は、(実質的に水平方向での)静電力を発生させ
るが、これらの静電力が微粒子のわずかな負電荷に起因する微粒子の静電反発力
と互に釣り合いっている。垂直方向で、静電的力と重力とが釣り合って、上記の
ボリュームを上下から拘束する。これらの力の効果は、誘電リング320の上に
微粒子の環帯(または複数の同心の環帯)を形成する結果をもたらす。
【0047】 より広義では、プラズマに存在する表面材料の多様な不連続部によって、十分
な乱れを起こして必要な電場を発生させうる。このように、本発明の電場は、ス
テップ、ギャップまたはそのような不連続部或はそれらの組み合せをいずれかの
電極(好ましくは下側の電極)の設計に組み入れることによって、発生させられ
てもよい。
【0048】 図3Aと3Bで図示した方法および装置は、少なくとも2つの利点を有する。
まず、電極の一方での不連続部を用いてプラズマの中に乱れを生じさせることに
基づく技術は、プラズマからのエネルギーを用いて微粒子を集中させるための電
場を発生させることで、「自己パワー供給(self-powered)」という利点がある。
第2に、本発明のこの実施例は比較的簡単であり、その使用は、1つの電極およ びチャンバ壁の機械的変更だけを必要とする。この方法は、誘電リング320の
直径の変更によって微粒子300の水平位置決めを制御することができる。しか
し、この方法は、微粒子300の垂直位置決めに対して、限定された制御のみを
提供する。その結果、微粒子300は、さもなければ望ましいであろう場所より
もっと基板305に近いところに集中される可能性がる。
【0049】 図4A(側面図)と4B(平面図)は、より大きい程度の制御を提供する本発 明の他の実施例を図示する。この実施例は、外部のパワーソースから供給された
パワーによって発生する磁場を用いて(図1Aと1Bの)チャンバ15内のプラ
ズマ(図示せず)における微粒子400の密度を増加させることによって、イン
サイチュ光散乱技術の感度を改善する。前述のように、微粒子400はプラズマ
の中に浸入しているため、わずかな負電荷を蓄積する。この実施例では、トロイ
ダル磁石410を用いて、ペデスタル12の上に載せられている基板415の上
方に磁場を生成する。この磁場は、さもなければ微粒子が占めうるボリュームよ
り小さいなボリューム(即ち、図4で示されるライトパス420に沿って位置す
る減小されたボリューム418)の中に、微粒子400を集中させる。しかし、
減小されたボリューム418に微粒子400を集中させるのに十分な磁場を生成
できる磁石であれば、どのような磁石を用いてもよい。例えば、トロイダル磁石
410は、永久磁石、電磁石または磁気エネルギーの他のソースであってもよい
【0050】 レーザ430はスキャナ435にレーザ光を供給し、そのレーザ光がライトパ
ス420に沿って第1の窓436を通してチャンバ壁115bの中に導かれる。光
センサ装置440は、第2の窓442を通して微粒子400によって散乱された
光を受光する。トロイダル磁石410はトロイド形状で示されているが、正方形
或は矩形のような他の磁石形状を用いてもよい。さらに、マルチセグメント磁石
を用いてもよい。
【0051】 前述のように、微粒子400がわずかな負電荷を所有するので、このような方
法を用いて微粒子400を集中されることができる。トロイダル磁石410は、
微粒子400に作用する磁場450を発生させて、微粒子を減小されたボリュー
ム418の中へ引き入れる。磁場450における微粒子400の間の相互作用は
ローレンツ式によって記述される。この式は、電場と磁場の存在下で移動する帯
電微粒子(例えば、微粒子400の個々の微粒子)に作用する力を記述する。こ
の式は下記のように記述されることができる:
【0052】
【式1】 式の中、Fは微粒子に作用する力であり、Vは微粒子の速度であり、qは微粒子
の電荷であり、Eは電場であり、Bは磁場である。E、V、BおよびFがベクト
ル量であるので、上記の式ベクトル式である。まず、量qEは、電場Eと平行す
るベクトルである。Eベクトルの正の向きは、電場の正帯電ソースから負帯電ソ
ースへの方を指す。従って、積qEは、正帯電微粒子が、電場Eと平行しその微
粒子を正電場ソースから負電場ソースへ押し付けようとする力を受けていること
を示す。負帯電微粒子(例えば微粒子400)は、(力qEに対して)大きさが
等しいが方向が反対である力−qE(qは負数である)を受ける。
【0053】 磁力はqV×Bで与えられて、VとBの両方に直交するように指向される。磁力 の正の向きは、慣例によって、Bに平行すべくVを回転させるように右ねじが回
転される場合、この右ねじの進む方向である。この力のもう1つの特徴は、VがB
と平行するとき、この磁力がゼロになる。Vが垂直であるとき、この力は最大に なる。その大きさは下記の式で示される:
【0054】
【式2】 式の中、 はVとBの間に含まれる角度である。この式から、磁場と平行して動く帯電微粒子
がこの磁場からの力を受けないことは明白である。実際に、たとえ帯電微粒子が
速度Vで任意の角度で磁場の中へ移動しても、磁場に直交する速度の成分(V)の みが、その磁場と相互作用する。平行の速度成分(V)は作用しない。従って、 磁気相互作用の最も単純な場合は、安定した均一な磁場の中へ移動する帯電微粒
子からなり、その微粒子は直角で磁場へ移動するように速度Vを有する。負帯電 微粒子(例えば微粒子400)は、常にVに直交し負の向きに指向される力を受
けて、よって、円形運動を引き起こす。微粒子によって描かれる半径は旋回半径
として知られ、その旋回半径は磁力を上記のような運動において遭遇される求心
加速度に等式化することによって決定されて、下記の式を得る:
【0055】
【式3】 式の中、rgは旋回半径であり、mが微粒子の質量(グラム)であり、(V(は垂直 速度成分の大きさ(cm/s)であり、(B(は磁場の大きさ(ガウス)であり、Zは存在 する電荷の数であり、cは光の速度(cm/s)であり、eは電子電荷(静電単位スタ ットクーロン)である。式からわかるように、磁場が強いあるいは速度が低い場
合、小さな旋回半径が得られる。任意の所定サイズの微粒子について、より強い
磁場は関連のレーザ光散乱検出器のより大きい感度を得ると期待されるだろう。
直観的明白であるように、式3は、微粒子の質量が小さければ小さいほどrgが 小さくなることも示してある。このように、微粒子が小さければ小さいほどより
集中するので、より小さな微粒子に対するより大きな感度の要求は本発明の方法
によって満たされる。
【0056】 この方法のある実施例は、外部パワーソースおよび(トロイダル磁石を収容す
るために)処理チャンバの相当大規模な変更を必要とするが、微粒子4000の 垂直および水平方向での位置決めを良く制御することができる。例えば、トロイ
ダル磁石410は調節可能な取付装置(図示せず)を用いて配置されてもよい。
これによって、減小されたボリューム440が基板405から離れて配置され、
減小されたボリューム440における微粒子400の集中に起因する欠陥の可能
性を減少する。
【0057】 本発明の方法および装置は前述の説明に記載された特定のパラメータに制限さ
れない。当業者なら分かるように、異なる磁場/電場形態を本発明の精神からか ら逸脱することなく使用することができる。種々の基板処理設備は本発明の方法
から利益を得ることができる。プラズマに基づく基板処理設備内のインサイチュ
検出のために、微粒子を集中するための本発明による他の等価或は代替の方法は
当業者とって明白である。それらの等価或は代替の方法は本発明の範囲内に含ま
れる。
【図面の簡単な説明】
【図1A】 本発明の化学気相堆積法(CVD)装置の1つの実施例を示す縦断面図である 。
【図1B】 本発明の化学気相堆積法(CVD)装置の1つの実施例を示す縦断面図である 。
【図1C】 図1Aで示されるCVDチャンバのある部分を示す分解斜視図である。
【図1D】 図1Aで示されるCVDチャンバのある部分を示す分解斜視図である。
【図1E】 1つ以上のチャンバを含むマルチチャンバシステムにおけるステムモニタとC
VDシステムを示す概略図である。
【図2】 処理チャンバ内のプラズマの中に浮遊する微粒子に作用しうる種々の力を図示
しているプラズマに基づく基板処理システムの概略図である。
【図3A】 モニタの感度を改善するために本発明の1つの実施例を用いるレーザ光散乱微 粒子モニタを示す概略側面図である。
【図3B】 モニタの感度を改善するために本発明の1つの実施例を用いるレーザ光散乱微 粒子モニタの概略平面図である。
【図4A】 モニタの感度を改善するために本発明のもう一つの実施例を用いるレーザ光線
散乱微粒子モニタの概略側面図である。
【図4B】 モニタの感度を改善するために本発明のもう一つの実施例を用いるレーザ光線
散乱在微粒子モニタの概略平面図である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H05H 1/00 H05H 1/00 A (72)発明者 パルクッヒ, ヴィジャユ アメリカ合衆国, カリフォルニア州, サニーヴェイル, サウス フェア オー クス アヴェニュー 655 Fターム(参考) 4K030 FA01 KA37 KA39 LA15 4M106 AA01 AA20 BA05 CA41 DH12 DH32 5F045 AA08 BB15 DP03 DQ10 EF05 EH05 EH13 EK08 GB04

Claims (27)

    【特許請求の範囲】
  1. 【請求項1】 基板処理システムの処理チャンバ内のプラズマの中の微粒子
    をモニタするインサイチュ微粒子モニタシステムであって、 微粒子の少なくとも一部をボリュームの中へ集中させる微粒子コンセントレー
    タと、 上記処理チャンバの壁にある光学的透明な窓と、 前記光学的透明な窓を通して、少なくとも前記ボリュームの一部を照明する光
    源と、 前記ボリュームの前記少なくとも一部にある少なくとも幾つかの微粒子によっ
    て散乱されて、前記光学的透明な窓を通して出る少なくとも若干の光を検出する
    光学的検出器と、 を備える、インサイチュ微粒子モニタシステム。
  2. 【請求項2】 前記光学検出器の出力を表示する表示装置をさらに備える、
    請求項1に記載の微粒子モニタシステム。
  3. 【請求項3】 前記微粒子コンセントレータは磁場を用いて微粒子を集中さ
    せる、請求項1に記載の微粒子モニタシステム。
  4. 【請求項4】 前記微粒子コンセントレータは電場を用いて微粒子を集中さ
    せる、請求項1に記載の微粒子モニタシステム。
  5. 【請求項5】 前記電場は第1の材料と前記プラズマとの接触によって発生
    し、前記第1の材料は第2の材料および第3の材料の間にある、請求項4に記載
    の微粒子モニタシステム。
  6. 【請求項6】 前記第1の材料は誘電材料であり、前記第2の材料は導電材
    料であり、前記第3の材料は導電材料である、請求項5に記載の微粒子モニタシ
    ステム。
  7. 【請求項7】 基板処理システムの処理チャンバ内のプラズマの中の微粒子
    をモニタするインサイチュ微粒子モニタシステムであって、 上記微粒子の少なくとも一部をボリュームの中へ集中させる微粒子コンセント
    レータと、 上記プロセスチャンバの壁にある第1および第2の光学的透明な窓と、 前記第1の光学的透明な窓を通して、前記ボリュームの少なくとも一部を照明
    する光源と、 前記ボリュームの前記少なくとも一部にある少なくとも幾つかの微粒子によっ
    て散乱されて、前記第2の光学的透明な窓を通して射出される少なくとも若干の
    光を検出する光学的検出器と、 を備える前記インサイチュ微粒子モニタシステム。
  8. 【請求項8】 前記光学検出器の出力を表示する表示装置をさらに備える、
    請求項7に記載の微粒子モニタシステム。
  9. 【請求項9】 前記微粒子コンセントレータは磁場を用いて微粒子を集中さ
    せる、請求項7に記載の微粒子モニタシステム。
  10. 【請求項10】 前記磁場は永久磁石を用いて発生させられる、請求項9に
    記載の微粒子モニタシステム。
  11. 【請求項11】 前記磁場は電磁石を用いて発生させられる、請求項9に記
    載の微粒子モニタシステム。
  12. 【請求項12】 前記微粒子コンセントレータは電場を用いて微粒子を集中
    させる、請求項7に記載の微粒子モニタシステム。
  13. 【請求項13】 前記電場は金属表面における幾何学的不連続部と上記プラ
    ズマとの接触によって発生する、請求項12に記載の微粒子モニタシステム。
  14. 【請求項14】 前記電場は誘電材料と上記プラズマとの接触によって発生
    し、前記誘電材料は側方に導電材料によって包囲される、請求項12に記載の微
    粒子モニタシステム。
  15. 【請求項15】 前記電場は第1の材料と上記プラズマとの接触によって発
    生し、前記第1の材料は第2の材料および第3の材料の間にある、請求項12に
    記載の微粒子モニタシステム。
  16. 【請求項16】 前記第1の材料は誘電材料であり、前記第2の材料は導電
    材料であり、前記第3の材料は導電材料である、請求項15に記載の微粒子モニ
    タシステム。
  17. 【請求項17】 上記基板と同心状に配置される前記第2の材料のリングと
    、 前記第2の材料の前記リングと同心状に配置される前記第1の材料のリングと
    、 前記第1の材料の前記リングと同心状に配置される前記第3の材料のリングと
    、 を更に備える、請求項16に記載の微粒子モニタシステム。
  18. 【請求項18】 前記第1の材料の誘電率は前記第2および前記第3の材料
    の誘電率より低い、請求項17に記載の微粒子モニタシステム。
  19. 【請求項19】 基板処理システムの処理チャンバ内の微粒子をモニタする
    ための、光照射野を形成する光源と視野を有する検出器とを備え、改善された感
    度を有する微粒子濃度モニタを用いて微粒子を検出する方法であって、 上記処理チャンバ内にプラズマを発生させて、前記プラズマによって上記微粒
    子の一部が静電荷を得るステップと、 上記微粒子の前記一部に電場を与えて、上記微粒子の前記一部を前記電場と一
    致するボリュームの中に集中させ、前記ボリュームは少なくとも部分的に上記光
    照射野と上記視野内にあるステップと、 を含む前記方法。
  20. 【請求項20】 前記光学検出器の出力を表示するステップをさらに含む、
    請求項19に記載の方法。
  21. 【請求項21】 前記電場は誘電材料と前記プラズマとの接触によって発生
    し、前記誘電材料は金属材料の間に囲まれる、請求項19に記載の方法。
  22. 【請求項22】 前記電場は金属表面における幾何学的不連続部と前記プラ
    ズマとの接触によって発生する、請求項19に記載の方法。
  23. 【請求項23】 基板処理システムの処理チャンバ内の微粒子をモニタする
    ための、光照射野を形成する光源と視野を有する検出器とを有する微粒子濃度モ
    ニタの感度を改善する方法であって、 上記処理チャンバ内にプラズマを発生させて、前記プラズマによって上記微粒
    子の一部が静電荷を得るステップと、 上記微粒子の前記一部に磁場を与えて、上記微粒子の前記一部を前記磁場と一
    致するボリュームの中へ集中させ、前記ボリュームは少なくとも部分的に上記光
    照射野と前記視野の中にあるステップと、 を含む、上記方法。
  24. 【請求項24】 前記磁場は永久磁石を用いて発生させられる、請求項23
    に記載の方法。
  25. 【請求項25】 前記磁場は電磁石を用いて発生させられる、請求項23に
    記載の方法。
  26. 【請求項26】 光照射野を形成する光源と視野を有する検出器とを有する
    光散乱微粒子濃度モニタを用いて、基板処理システムの処理チャンバ内の微粒子
    濃度をモニタする方法であって、 上記処理チャンバ内にプラズマを発生させて、前記プラズマによって前記微粒
    子が静電荷を得るステップと、 上記微粒子に電場を印加して、上記微粒子の第1の部分を前記電場と一致する
    ボリュームの中へ集中させ、前記ボリュームの一部は少なくとも部分的に上記光
    照射野と上記視野の中にあるステップと、 上記光源を用いて前記ボリュームの前記一部を照明するステップと、 上記検出器を用いて前記ボリュームの前記一部の中の微粒子から散乱された光
    を検出するステップと を含む、方法。
  27. 【請求項27】 光照射野を形成する光源と視野を有する検出器とを有する
    光散乱微粒子濃度モニタを用いて、基板処理システムの処理チャンバ内の微粒子
    濃度をモニタする方法であって、 上記処理チャンバ内にプラズマを発生させて、前記プラズマによって前記微粒
    子が静電荷を得るステップと、 上記微粒子に磁場を与えて、上記微粒子の第1の部分を前記磁場と一致するボ
    リュームの中へ集中させ、前記ボリュームの一部は少なくとも部分的に上記光照
    射野と上記視野の中にあるステップと、 上記光源を用いて前記ボリュームの前記一部を照明するステップと、 上記検出器を用いて前記ボリュームの前記一部の中の微粒子から散乱された光
    を検出するステップと を含む方法。
JP2000529592A 1998-01-30 1999-01-05 インサイチュ微粒子モニタの感度の増大 Pending JP2002502027A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/016,241 1998-01-30
US09/016,241 US6125789A (en) 1998-01-30 1998-01-30 Increasing the sensitivity of an in-situ particle monitor
PCT/US1999/000212 WO1999039183A1 (en) 1998-01-30 1999-01-05 Increasing the sensitivity of an in-situ particle monitor

Publications (1)

Publication Number Publication Date
JP2002502027A true JP2002502027A (ja) 2002-01-22

Family

ID=21776109

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000529592A Pending JP2002502027A (ja) 1998-01-30 1999-01-05 インサイチュ微粒子モニタの感度の増大

Country Status (3)

Country Link
US (1) US6125789A (ja)
JP (1) JP2002502027A (ja)
WO (1) WO1999039183A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010056270A (ja) * 2008-08-28 2010-03-11 Hitachi High-Technologies Corp パーティクルモニタを備えた基板処理装置及びそれを用いた基板処理方法
WO2013035407A1 (ja) * 2011-09-09 2013-03-14 シャープ株式会社 粒子検出装置
JP2021521433A (ja) * 2018-04-11 2021-08-26 サイバーオプティクス コーポレーション インライン粒子センサ

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6535628B2 (en) * 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
US6613588B2 (en) * 1999-03-02 2003-09-02 Hitachi, Ltd. Floating particle inspection method and its apparatus and a semiconductor device processing apparatus
US6693443B2 (en) 1999-04-02 2004-02-17 Worcester Polytechnic Institute Systems for detecting and measuring inclusions
US6590200B1 (en) 1999-04-02 2003-07-08 Worcester Polytechnic Institute Systems for detecting measuring inclusions
WO2001075183A2 (en) * 2000-03-31 2001-10-11 Worcester Polytechnic Institute System for detecting inclusions in molten metals
JP2002057143A (ja) * 2000-08-07 2002-02-22 Hitachi Ltd 浮遊異物検出装置
US6696362B2 (en) * 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
EP1432972A1 (en) * 2001-09-07 2004-06-30 Inficon, Inc. Signal processing method for in-situ, scanned-beam particle monitoring
US6740196B2 (en) * 2002-02-21 2004-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. RTA chamber with in situ reflective index monitor
TWI240601B (en) * 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
KR100797504B1 (ko) 2002-11-26 2008-01-24 동경 엘렉트론 주식회사 플라즈마 처리장치의 플라즈마 처리 챔버내의 입자 농도를최소화시키는 방법
JP4363860B2 (ja) * 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ 真空処理装置の異物管理装置及び異物管理方法
JP2005043052A (ja) * 2003-07-22 2005-02-17 Hitachi High-Technologies Corp 異物検出方法、処理装置および異物管理システム
US6909102B1 (en) 2004-01-21 2005-06-21 Varian Semiconductor Equipment Associates, Inc. Ion implanter system, method and program product including particle detection
US7180594B2 (en) * 2004-05-27 2007-02-20 Finesse Instruments, Llc. Method and apparatus for verifying proper operation of a photometric device, such as a cell density probe
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10332810B2 (en) 2016-10-24 2019-06-25 Kla-Tencor Corp. Process modules integrated into a metrology and/or inspection tool
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
WO2020005375A1 (en) * 2018-06-29 2020-01-02 Carrier Corporation Multipurpose air monitoring device
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4626447A (en) * 1985-03-18 1986-12-02 Energy Conversion Devices, Inc. Plasma confining apparatus
US5298720A (en) * 1990-04-25 1994-03-29 International Business Machines Corporation Method and apparatus for contamination control in processing apparatus containing voltage driven electrode
US5083865A (en) * 1990-05-11 1992-01-28 Applied Materials, Inc. Particle monitor system and method
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
US5255089A (en) * 1992-03-26 1993-10-19 International Business Machines Corporation Portable particle detector assembly
JP2634019B2 (ja) * 1992-06-16 1997-07-23 アプライド マテリアルズ インコーポレイテッド 半導体デバイス処理における微粒子汚染の低減
JPH06124902A (ja) * 1992-10-12 1994-05-06 Nissin Electric Co Ltd パーティクルモニタ付きプラズマ処理装置
US5328555A (en) * 1992-11-24 1994-07-12 Applied Materials, Inc. Reducing particulate contamination during semiconductor device processing
US5347138A (en) * 1993-04-01 1994-09-13 High Yield Technology In situ real time particle monitor for a sputter coater chamber
DE69420774T2 (de) * 1993-05-13 2000-01-13 Applied Materials Inc Kontrolle der Kontamination in einem Plasma durch Ausgestaltung des Plasmaschildes unter Verwendung von Materialien mit verschiedenen RF-Impedanzen
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JP2592217B2 (ja) * 1993-11-11 1997-03-19 株式会社フロンテック 高周波マグネトロンプラズマ装置
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JPH08288096A (ja) * 1995-02-13 1996-11-01 Mitsubishi Electric Corp プラズマ処理装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010056270A (ja) * 2008-08-28 2010-03-11 Hitachi High-Technologies Corp パーティクルモニタを備えた基板処理装置及びそれを用いた基板処理方法
WO2013035407A1 (ja) * 2011-09-09 2013-03-14 シャープ株式会社 粒子検出装置
JP2013068597A (ja) * 2011-09-09 2013-04-18 Sharp Corp 粒子検出装置
JP2021521433A (ja) * 2018-04-11 2021-08-26 サイバーオプティクス コーポレーション インライン粒子センサ
JP7455756B2 (ja) 2018-04-11 2024-03-26 サイバーオプティクス コーポレーション インライン粒子センサ

Also Published As

Publication number Publication date
WO1999039183A1 (en) 1999-08-05
US6125789A (en) 2000-10-03

Similar Documents

Publication Publication Date Title
US6125789A (en) Increasing the sensitivity of an in-situ particle monitor
US8337629B2 (en) Method for cleaning elements in vacuum chamber and apparatus for processing substrates
EP2223327B1 (en) Methods and systems for removing a material from a sample
US6171438B1 (en) Plasma processing apparatus and plasma processing method
US20100083982A1 (en) Particle removal apparatus and method and plasma processing apparatus
Selwyn Optical characterization of particle traps
JP5314659B2 (ja) 減圧処理室内の部材清浄化方法および基板処理装置
EP0622627A1 (en) Method and apparatus for detecting particles on a substrate
KR20060128027A (ko) 스핀 디스크 이온 주입기 상의 원위치 모니터링
KR101600520B1 (ko) 광학 분광 분석 장치
US8608422B2 (en) Particle sticking prevention apparatus and plasma processing apparatus
JP2007324154A (ja) プラズマ処理装置
JP6397680B2 (ja) プラズマ処理装置およびプラズマ処理装置の運転方法
O'Hanlon et al. The effects of electrostatic, molecular drag and gravitational forces on the behavior of particle clouds in an RF discharge
US7172675B2 (en) Observation window of plasma processing apparatus and plasma processing apparatus using the same
US20230207293A1 (en) Apparatus for treating substrate and method for treating substrate
CN113302721B (zh) 等离子体处理装置的部件的制造方法以及部件的检查方法
JP3937272B2 (ja) スパッタ装置及びスパッタ方法
JP2001185545A (ja) プラズマ処理装置
JP2010099617A (ja) パーティクル捕集装置及びパーティクル捕集方法
Selwyn Scientific and Technological Issues Pertaining to Particle Contamination in Plasma Processing
JPH0886735A (ja) 吸引式微粒子測定方法
JPH11271210A (ja) 塵粒子検出方法及びこの方法を用いた塵粒子検出器

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070710

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071218