JP2002359370A - 半導体装置、相補型半導体装置 - Google Patents

半導体装置、相補型半導体装置

Info

Publication number
JP2002359370A
JP2002359370A JP2002077055A JP2002077055A JP2002359370A JP 2002359370 A JP2002359370 A JP 2002359370A JP 2002077055 A JP2002077055 A JP 2002077055A JP 2002077055 A JP2002077055 A JP 2002077055A JP 2002359370 A JP2002359370 A JP 2002359370A
Authority
JP
Japan
Prior art keywords
layer
atoms
oxygen
atom
bonded
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002077055A
Other languages
English (en)
Other versions
JP3792589B2 (ja
Inventor
Kiyoshi Irino
清 入野
Yusuke Morizaki
祐輔 森崎
Yoshihiro Sugita
義博 杉田
Yoshiaki Tanida
義明 谷田
Yoshihisa Iba
義久 射場
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2002077055A priority Critical patent/JP3792589B2/ja
Priority to KR1020020016641A priority patent/KR100757645B1/ko
Priority to TW091106211A priority patent/TW544735B/zh
Priority to CNB021218447A priority patent/CN1206736C/zh
Priority to US10/109,001 priority patent/US6894369B2/en
Publication of JP2002359370A publication Critical patent/JP2002359370A/ja
Application granted granted Critical
Publication of JP3792589B2 publication Critical patent/JP3792589B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 高誘電体ゲート絶縁膜を有する超高速半導体
装置において、高誘電体ゲート絶縁膜を介したゲート電
極からSi基板への不純物元素の拡散や、高誘電体ゲー
ト絶縁膜からSi基板あるいはゲート電極への金属元素
や酸素の拡散を抑制する。 【解決手段】 高誘電体ゲート絶縁膜を原子層の積層に
より形成する際、Si基板表面を酸素原子層により一様
に覆い、その上に高誘電体膜を、高誘電体膜の上下が窒
素原子層により一様に覆われた状態で形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般に半導体装置に
係り、特に金属酸化物あるいは金属シリケートよりなる
高誘電体絶縁膜を有する半導体装置およびその製造方法
に関する。
【0002】
【従来の技術】超高速動作が要求されるCMOSLSI
などの半導体集積回路装置では、半導体集積回路装置を
構成する電界効果型トランジスタ(MOSFET)が非
常に短いゲート長を有することが要求されており、この
ためMOSFETの微細化に対して多大の努力がなされ
ている。
【0003】このように微細化されたMOSFETで
は、スケーリング則による要請からゲート絶縁膜の膜厚
に対しても制限が加えられ、例えばゲート絶縁膜の膜厚
を酸化膜厚換算で2.5nm程度以下に減少させること
が求められている。
【0004】従来より、ゲート絶縁膜として、一般にリ
ーク電流特性が良好で界面準位密度の低いシリコン酸化
膜が使用されている。しかしシリコン酸化膜よりなる従
来のゲート絶縁膜では、ゲート絶縁膜の物理膜厚の減少
に伴い直接トンネル電流が増加してしまい、このためゲ
ート絶縁膜の膜厚が上記の値よりもさらに減少すると、
トンネル電流によるゲートリーク電流が大きな問題にな
る。ゲートリーク電流が増大すると、例えばゲートオフ
時において実質的なリーク電流が生じ、半導体装置の回
路が正常に動作しない、あるいは消費電力が増加する等
の問題が生じてしまう。
【0005】そこで上記の問題を解消するため、ゲート
絶縁膜の材料として高い誘電率を有する金属酸化物や金
属シリケートなどの高誘電体膜を使用することが検討さ
れている。
【0006】
【発明が解決しようとする課題】しかしながら、このよ
うな金属酸化物や金属シリケートを用いた高誘電体ゲー
ト絶縁膜では、ゲート電極にドープしたボロンが高誘電
体ゲート絶縁膜へと抜けていく現象や、半導体装置の製
造時にゲート電極上に層間絶縁膜等を形成する工程など
で使われる原料ガス中の水素が高誘電体ゲート絶縁膜を
アタックする現象等により、MOSFETの動作特性が
不安定になる問題が生じる。またシリコン基板表面への
高誘電体ゲート絶縁膜を含むゲート構造の形成の際に、
シリコン基板と高誘電体ゲート絶縁膜との間の界面、あ
るいは高誘電体ゲート絶縁膜とポリシリコンゲート電極
との間の界面において、シリサイド形成などの反応が生
じるおそれがある。
【0007】従来、高誘電体ゲート絶縁膜は直接トンネ
ル電流の抑制について主として検討されてきたものであ
り、素子構造中における特性の安定性についての調査は
十分に行われたとは言えない。
【0008】例えば特開2001−267566号公報
は、Si基板表面にいわゆる単原子層堆積(atomic lay
er CVD)法により形成された単分子層のSiN層と、か
かる単分子SiN層上に同じく単原子層堆積法により酸
素原子層とZr原子層の繰り返しとして形成されたZr
2層などの高誘電体層と、さらに前記高誘電体層上に
再び単原子層堆積法により形成された単分子層SiN層
とよりなるゲート絶縁層が開示されている。また前記従
来技術には、Si基板表面に単原子層堆積法により形成
された単分子層のSiO2層と、前記単分子層SiO2
上に同様な単原子層堆積法により酸素原子層とZrなど
の金属原子層の繰り返しとして形成された高誘電体膜
と、さらに前記高誘電体膜上に再び単原子層堆積法によ
り形成された単分子層SiN層とよりなるゲート絶縁膜
が開示されている。
【0009】このような高誘電体膜を有するゲート絶縁
膜は酸化膜換算膜厚が小さく、ゲート長が例えば0.1
μm以下の超高速半導体装置に使った場合でも、直接ト
ンネル効果によるゲートリークを抑制することができ
る。
【0010】しかし、高誘電体膜の上下をSiN単分子
層で狭持した構成では、Siと窒素の原子価の差が原因
でSi基板表面を窒素原子により一様にかつ完全に覆う
ことができず、必ずダングリングボンドが生じてしま
う。このようにゲート絶縁膜の特にチャネル領域となる
Si基板表面との界面にダングリングボンドが生じる
と、キャリアのトラップなどにより、半導体装置の閾値
特性が変化してしまう。
【0011】一方、上記従来技術に開示された高誘電体
膜の上下をSiO2単分子層で狭持した構成では、Si
基板とゲート絶縁膜との界面におけるダングリングボン
ドは生じないが、ゲート絶縁膜中に窒素原子層が形成さ
れていないため、ポリシリコンゲート電極中のBドーパ
ントがゲート絶縁膜を通ってSi基板中に拡散し、半導
体装置の閾値特性を変化させてしまう問題が生じる。ま
たこのような構成では、ゲート絶縁膜中に窒素原子層が
含まれていないことに起因して、高誘電体膜中の酸素が
容易にSi基板中に拡散し、チャネル領域のキャリアモ
ビリティが低下してしまう問題が生じる。さらにこのよ
うな構成では、Zrなどの金属元素がゲート絶縁膜を通
過してSi基板に到達し、シリサイド形成などの反応を
生じる恐れがある。
【0012】このように、従来の高誘電体ゲート絶縁膜
構造では、Si基板との界面におけるダングリングボン
ド形成の問題、あるいは高誘電体ゲート絶縁膜を通過す
る不純物元素、酸素あるいは金属元素の拡散の問題が解
決されておらず、半導体装置を構成しても、所期の効果
を奏することができない。
【0013】そこで本発明は上記の課題を解決する新規
で有用な半導体装置およびその製造方法を提供すること
を概括的課題とする。
【0014】本発明のより具体的な課題は、高誘電体ゲ
ート絶縁膜を有する半導体装置であって、ゲート電極等
にドープしたボロンの抜けを抑制でき、製造時に用いる
原料ガス中の水素などの還元雰囲気の影響による特性バ
ラツキを抑制でき、また高誘電体ゲート絶縁膜とシリコ
ン基板あるいはゲート電極との間の反応を抑制できる半
導体装置およびその製造方法を提供することにある。
【0015】
【課題を解決するための手段】本発明は上記の課題を、
Si結晶層よりなるチャネル領域と、前記チャネル領域
上に形成され、前記Si結晶層上に形成されたSiO2
層と、前記SiO2層上に形成された第1のSiN層
と、前記第1のSiN層上に形成された、高誘電体金属
酸化物層と、前記高誘電体金属酸化物層上に形成された
第2のSiN層とを含むゲート絶縁膜と、前記ゲート絶
縁膜上に形成されたゲート電極とよりなることを特徴と
する半導体装置により、解決する。
【0016】本発明において、前記SiO2層は、前記
Si基板表面を一様に覆う酸素原子層を含むのが好まし
い。また前記酸素原子層は、1原子層分の酸素原子層で
あるのが好ましい。さらに前記第1のSiN層は、前記
SiO2層表面を一様に覆う窒素原子層を含むのが好ま
しい。また前記第1のSiN層中の前記窒素原子層は、
1原子層分の窒素原子層であるのが好ましい。さらに前
記SiO2層を構成する前記酸素原子層中の酸素原子の
90%以上が、前記Si結晶層表面のSi原子に結合し
ているのが好ましい。さらに前記第1のSiN層におい
て、前記窒素原子層中の窒素原子の90%以上が、前記
SiO2層中のSi原子に結合しているのが好ましい。
また前記SiO2層は約1分子層分の厚さを有し、前記
SiN層および前記別のSiN層は約1分子層の厚さを
有するのが好ましい。また前記高誘電体金属酸化物層の
表面は、酸素原子よりなる酸素原子層により一様に覆わ
れているのが好ましい。前記第2のSiN層において、
前記窒素原子層は、前記高誘電体金属酸化物層を一様に
覆う窒素原子層を含むのが好ましい。前記第2のSiN
層において、前記窒素原子層は1原子層分の窒素原子層
であるのが好ましい。前記第2のSiN層において、前
記窒素原子層中の窒素原子は、主として前記高誘電体金
属酸化物層表面を覆う酸素原子層中の酸素原子と結合し
ているのが好ましい。前記高誘電体金属酸化物層は、1
原子層分の酸素原子よりなる酸素原子層と1原子層分の
金属原子よりなる金属原子層とを交互に繰り返す構造を
有するのが好ましい。前記高誘電体金属酸化物層は、Z
r,Hf,Sr,Ba,Ta,Ti,Y,Lrおよびラ
ンタノイド族金属元素のうちの少なくとも一つから選ば
れる金属元素の酸化物あるいはシリケートであるのが好
ましい。また前記ゲート電極はポリシリコンよりなるの
が好ましい。
【0017】本発明はまた上記の課題を、Si基板と、
前記Si基板上に形成されたゲート絶縁膜と、前記ゲー
ト絶縁膜上に形成されたゲート電極とよりなる半導体装
置であって、前記ゲート絶縁膜は、各々Si基板表面の
Si原子に結合した酸素原子よりなる酸素原子層と、各
々前記酸素原子層中の酸素原子に結合したSi原子より
なるSi原子層と、各々前記Si原子層中のSi原子に
結合した窒素原子よりなる窒素原子層と、各々前記窒素
原子層中の窒素原子に結合したSi原子とよりなる第1
の絶縁膜と、各々前記Si原子層中のSi原子に結合し
た酸素原子よりなる酸素原子層と、各々前記酸素原子層
中の酸素原子に結合した金属原子よりなる金属原子層
と、各々前記金属原子層中の金属原子に結合した酸素原
子よりなる酸素原子層とを含み、最上部に金属原子層中
の金属原子に結合した酸素原子よりなる最上部酸素原子
層を有する第2の絶縁膜と、各々前記最上部酸素原子層
中の酸素原子に結合したSi原子よりなり前記最上部酸
素原子層を覆うSi原子層と、各々前記最上部酸素原子
層を覆う前記Si原子層中のSi原子に結合した窒素原
子よりなる窒素原子層とよりなる第3の絶縁膜とより構
成されることを特徴とする半導体装置により、解決す
る。
【0018】本発明はまた上記の課題を、第1の導電型
の第1の素子領域と第2の導電型の第2の素子領域とを
素子分離領域により画成された基板と、前記基板上の前
記第1の領域に形成された第1のゲート絶縁膜と、前記
基板上の前記第2の領域に形成された第2のゲート絶縁
膜と、前記第1のゲート絶縁膜上に形成された第1のゲ
ート電極と、前記第2のゲート絶縁膜上に形成された第
2のゲート電極とを備えた相補型半導体装置であって、
前記第1および第2のゲート絶縁膜は、各々Si基板表
面のSi原子に結合した酸素原子よりなる酸素原子層
と、各々前記酸素原子層中の酸素原子に結合したSi原
子よりなるSi原子層と、各々前記Si原子層中のSi
原子に結合した窒素原子よりなる窒素原子層と、各々前
記窒素原子層中の窒素原子に結合したSi原子とよりな
る第1の絶縁膜と、各々前記Si原子層中のSi原子に
結合した酸素原子よりなる酸素原子層と、各々前記酸素
原子層中の酸素原子に結合した金属原子よりなる金属原
子層と、各々前記金属原子層中の金属原子に結合した酸
素原子よりなる酸素原子層とを含み、最上部に金属原子
層中の金属原子に結合した酸素原子よりなる最上部酸素
原子層を有する第2の絶縁膜と、各々前記最上部酸素原
子層中の酸素原子に結合したSi原子よりなり前記最上
部酸素原子層を覆うSi原子層と、各々前記最上部酸素
原子層を覆う前記Si原子層中のSi原子に結合した窒
素原子よりなる窒素原子層とよりなる第3の絶縁膜とよ
り構成されることを特徴とする相補型半導体装置によ
り、解決する。
【0019】本発明において前記工程(E)は、前記S
i基板表面に前記酸素を含むガスを供給する工程で開始
され、前記Si基板表面に前記酸素を含むガスを供給す
る工程で終了されるのが好ましい。
【0020】本発明によれば、Si基板表面を直接に酸
素原子層により覆っているため、Si基板表面を窒素原
子層で覆った場合のようなダングリングボンドの形成が
生じることはなく、半導体装置の電気特性が安定する。
また本発明によれば、高誘電体ゲート絶縁膜中に酸素原
子層の他に窒素原子層が含まれるため、このような窒素
原子層は、高誘電体ゲート絶縁膜を通過するBなどの不
純物元素の拡散、また酸素の拡散、さらにZrなどの金
属元素の拡散を効果的に抑制し、その結果、Si基板と
ゲート絶縁膜、およびゲート絶縁膜とゲート電極との界
面における反応が抑制され、酸化膜換算膜厚の小さい高
誘電体ゲート絶縁膜を有する超高速半導体装置を、安定
に、再現性良く製造することが可能になる。
【0021】また本発明の半導体装置では、Si基板表
面と窒素原子層との間に酸素原子層とSi原子層とが介
在するため窒素原子層がSi基板表面から離間し、その
結果、窒素原子の固定電荷に起因する半導体装置の動作
特性の変化が効果的に抑制される。
【0022】
【発明の実施の形態】[第1実施例]図1(A)〜図8
(J)は、Si基板1上への本発明の第1実施例による
高誘電体ゲート絶縁膜の形成工程を示す。
【0023】以下の説明では、高誘電体ゲート絶縁膜は
原子層堆積法により形成されるが、かかる高誘電体ゲー
ト絶縁膜は、例えばMBE法などによって形成すること
も可能である。
【0024】図1(A)を参照するに、Si基板1表面
の自然酸化膜を除去し、基板表面のSi原子を露出した
後、図1(B)の工程において、典型的には300℃の
基板温度でH2O(水蒸気)を供給し、前記Si基板1
表面にH2O分子を吸着させる。その結果前記Si基板
1の表面は1原子層分の酸素原子層OL1により一様に
覆われる。その際、前記酸素原子層O1中の各々の酸素
原子はSi基板表面のSi原子に結合し、また前記酸素
原子の反対側の結合手には水素原子が結合している。そ
の結果、前記Si基板1の表面には1分子層分の厚さの
SiO2層2が形成され、前記SiO2分子層2の表面は
OH基により覆われる。前記酸素原子層OL1は厳密に
1原子層である必要はないが、90%以上の酸素原子が
Si基板表面の対応するSi原子と結合しており、実質
的に1原子層とみなすことができる。
【0025】次に図2(C)の工程において前記図1
(B)の構造の表面にSiCl4ガスを400℃の基板
温度で供給し、SiCl4分子層を吸着させる。その
際、前記酸素原子層OL1表面のOH基中の水素原子は
SiCl4分子と反応し、HClの形で除去され、その
結果、前記Si基板の表面は1原子層分のSi原子層S
1により一様に覆われる。このようにして形成された
Si原子層SL1中のSi原子の二つの結合手は前記酸
素原子層O1中の二つの酸素原子にそれぞれ結合し、残
りの二つの結合手にはCl原子がそれぞれ結合してい
る。前記Si原子層SL 1も厳密に1原子層である必要
はないが、90%以上のSi原子が酸素原子層OL1
の対応する酸素原子と結合しており、実質的に1原子層
とみなすことができる。
【0026】次に図2(D)の工程において前記図2
(C)の構造の表面にNH3ガスを400℃の基板温度
で供給し、前記Si原子層中のSi原子の各々に、前記
Cl原子を置換することにより1原子層分の窒素原子を
結合させ、1原子層分の窒素原子層NL1を形成する。
その際、前記Si原子層SL1中のSi原子に結合して
いたCl原子はHClの形で除去される。このようにし
て形成された窒素原子においては、Si原子に結合して
いない二つの結合手に水素原子がそれぞれ結合してい
る。図2(D)の工程により、前記1分子層分の厚さの
SiO2分子層2上に、1分子層分の厚さのSiN層3
が形成される。前記窒素原子層NL1は厳密に1原子層
である必要はないが、90%以上の窒素原子が前記Si
原子層SL1中の対応するSi原子と結合しており、実
質的に1原子層とみなすことができる。
【0027】次に図3(E)の工程において前記図2
(D)の構造の表面にSiCl4ガスを400℃の基板
温度で供給し、SiCl4分子を一様に吸着させる。そ
の際、前記窒素原子層NL1中の窒素原子に結合してい
た水素原子は、HClの形で除去され、その結果、前記
窒素原子層NL1の表面は1原子層分のSi原子層SL2
により一様に覆われる。このようにして形成されたSi
原子層SL2中のSi原子の結合手のうち二つは前記窒
素原子層中の二つの窒素原子にそれぞれ結合する。前記
SiN分子層中のSi原子の残り二つの結合手にはCl
原子がそれぞれ結合している。前記Si原子層SL2
厳密に1原子層である必要はないが、90%以上のSi
原子がその下の窒素原子層NL1中の対応する窒素原子
に結合しており、実質的に1原子層とみなすことができ
る。
【0028】次に図4(F)の工程において、図3
(E)の構造の表面に水蒸気(H2O)が400℃の基
板温度で供給され、その結果、前記Si原子層SL2
のSi原子に結合していたCl原子がHClの形で除去
され、各々前記Si原子層SL2中のSi原子に結合し
た酸素原子よりなる酸素原子層OL2が形成される。前
記酸素原子層OL2中の酸素原子は前記Si原子層SL2
中のSi原子と共に一つのSiO2単分子層を形成する
と見ることもできるが、また以下に説明する高誘電体膜
の一部を構成すると見ることもできる。前記酸素原子層
OL2中の酸素原子の各々には水素原子が結合してOH
基が形成されている。前記酸素原子層OL2も厳密に1
原子層である必要はないが、90%以上の酸素原子がS
i原子層SL 2中のSi原子に結合しており、実質的に
1原子層とみなすことができる。
【0029】図5(G)の工程において図4(F)の構
造の表面にHfCl4ガスが供給され、前記酸素原子層
OL2上にHfCl4分子が一様に吸着される。その際、
前記酸素単原子層OL2表面でOH基を形成する水素原
子はHfCl4分子と反応し、HClの形で除去され、
その結果、前記酸素原子層OL2は1原子層分のHf原
子層HL1により一様に覆われる。このようにして形成
されたHf原子層HL1中のHf原子の二つの結合手は
前記酸素原子層O2中の二つの酸素原子にそれぞれ結合
し、残りの二つの結合手にはCl原子がそれぞれ結合し
ている。前記Hf原子層HL1も厳密に1原子層である
必要はないが、Hf原子層HL1中のHf原子の90%
以上は酸素原子層OL2中の対応する酸素原子に結合し
ており、実質的に1原子層とみなすことができる。
【0030】次に図6(H)の工程において図5(G)
の構造の表面に水蒸気(H2O)が400℃の基板温度
で供給され、その結果、前記Hf原子層HL1中のHf
原子に結合していたCl原子がHClの形で除去され、
各々前記Hf原子層SL2中のHf原子に結合した酸素
原子よりなる酸素原子層OL3が形成される。前記酸素
原子層OL3中の酸素原子は前記Hf原子層HL1中のH
f原子と共に一つのHfO2単分子層を形成する。また
前記酸素原子層OL3中の酸素原子の各々には水素原子
が結合してOH基が形成されている。前記酸素原子層O
3においても90%以上の酸素原子がHf原子層HL1
中の対応するHf原子と結合しており、実質的に1原子
層とみなすことができる。
【0031】さらに図7(I)の工程で図5(G)と図
6(H)の工程を任意の回数繰り返すことにより、前記
HfO2単分子層上に、酸素原子層OL3およびHf原子
層HL2よりなるHfO2層4が形成され、さらに前記H
f原子層HL2上に酸素原子層OL4が形成される。前記
酸素原子層OL3およびHf原子層HL2も、厳密に1原
子層である必要はないが、実質的に1原子層とみなすこ
とができる。
【0032】さらに図8(J)の工程において、図7
(I)の構造上にSiCl4ガスおよびNH3ガスを順次
供給し、前記酸素原子層OL4上にSi原子層SL3と窒
素原子層NL2とよりなるSiN単分子層5を形成す
る。前記Si原子層SL3と窒素原子層NL2も、厳密に
1原子層である必要はないが、先の原子層OL1〜OL4
と同様に、実質的に1原子層とみなすことができる。
【0033】図1(A)〜図8(J)の工程は、前記原
子層OL1から原子層NL1までの厚さが1〜数ナノメー
トル程度になるように形成され、このようにして形成さ
れて高誘電体ゲート絶縁膜上にはポリシリコンなどのゲ
ート電極が形成される。
【0034】図1(A)〜図8(J)の工程では、特に
図1(A)の工程でSi基板表面が直接に酸素原子層O
1により一様に覆われるため、界面にダングリングボ
ンドや界面準位が形成されることがなく、非常に高品質
のSiO2単分子層2が形成される。これに伴い、半導
体装置が動作する場合にチャネル領域をキャリアが高速
で輸送される場合でも、キャリアがダングリングボンド
や界面準位に捕獲されることがなく、半導体装置の閾値
特性が劣化したり、リーク特性が劣化する問題を回避す
ることができる。
【0035】さらに図2(D)の工程において前記Si
2単分子層2上にSiN単分子層3が形成されるた
め、図5(G)〜図7(I)の工程においてHfO2
をかかるSiN層3上に形成した場合、前記HfO2
中のHfおよび酸素がSi基板中に拡散するのが効果的
に抑制される。さらにかかる構成では、SiN単分子層
中のN原子層がSi基板表面から前記酸素原子層OL1
およびSi原子層SL1の分だけ離間して形成されるた
め、N原子が有する固定電荷による半導体装置の閾値特
性の変動が回避される。また、同様に、本実施例では前
記HfO2層上に前記窒素原子層NL2を含むSiN分子
層が形成されているため、前記HfO2層からポリシリ
コンゲート電極へのHfおよび酸素の拡散が効果的に抑
制される。また、前記ポリシリコンゲート電極から前記
Si基板へのBなどのドーパント拡散も効果的に抑制さ
れる。
【0036】図8(J)の構成の高誘電体ゲート絶縁膜
では、前記HfO2層の積層よりなる高誘電体膜の最下
面および最上面に酸素原子層OL2およびOL4が形成さ
れているのは重要である。これにより、Hf原子は四つ
の酸素原子により配位されることになるが、その結果、
Hf原子は安定して4価の状態を維持することができ、
還元などによる酸素欠損の発生を抑制することが可能に
なる。
【0037】本実施例において、前記酸素原子層OL1
およびSi原子層SL1は任意の回数繰り返すことが可
能である。同様に前記窒素原子層NL1とSi原子層S
2も任意の回数繰り返すことが可能である。しかし、
誘電率の低いSiO2層の厚さがHfO2層の下で増大す
ると、高い誘電率のHfO2を使った効果が減少するの
で、SiO2層の膜厚の増大にはおのずから限界があ
る。
【0038】なお前記高誘電体膜としてはHfO2以外
にZrO2を使うことも可能であるが、ZrO2は半導体
プロセスで使われる温度においてマルテンサイト型の相
転移を生じる恐れがあるため、相転移温度の高いHfO
2を使う方がより好ましい。
【0039】また、前記高誘電体膜としては、Sr,B
a,Ta,Ti,Y,Lrおよびランタノイド族金属元
素の酸化物あるいはシリケートを使うことが可能であ
る。
【0040】図9は、このようにして形成された高誘電
体ゲート絶縁膜上にポリシリコン層6を電極として形成
した構成を示す。
【0041】図10および図11は、図9の試料につい
て行った、リーク電流特性の測定結果を示す。ただし図
10の結果は、図8(J)における窒素原子層NL1
よびNL2を省略した場合を示す。これに対し、図11
の場合には、前記窒素原子層NL1およびNL2を、それ
ぞれ図2Dおよび図8(J)の工程で、NH3ガスによ
る熱処理を650℃で行うことにより形成しており、前
記酸素原子層および窒素原子層は合計で約1nmの膜厚
になるように形成されている。いずれの場合でも、前記
HfO2層は全体で3nmの膜厚を有し、層形成後に7
00℃で30秒間の急速熱処理を行い、さらにポリシリ
コン電極を590℃で形成した後、ドーパントの活性化
熱処理に対応して1000℃で5秒間の熱処理を行って
いる。図中、異なった曲線は異なった試料の結果を表し
ている。
【0042】図10を参照するに、この場合にはリーク
電流密度Jgは+1Vの印加電圧で0.43〜55Ac
-2であるのに対し、図11の場合にはリーク電流密度
Jgは+1Vの印加電圧において0.84〜7.88×
10-5Acm-2と著しく減少していることがわかる。ま
た図11の場合、酸化層換算層厚は1.77から1.8
4nmであった。
【0043】このように、本発明によれば、Si基板表
面を酸素原子層で覆い、さらに高誘電率層の上下を窒素
原子層により覆うことにより、高誘電体ゲート絶縁層の
リーク電流特性を大きく向上することが可能である。 [第2実施例]図12(A)〜図15(G)は、本発明
の第2実施例による半導体装置10の製造工程を示す。
【0044】図12(A)を参照するに、p型の(10
0)面方位を有するSi基板11上には熱酸化処理によ
り熱酸化層11aが形成され、さらにCVD法によりS
iN膜11bが前記熱酸化膜11a上に形成される。
【0045】さらに図12(A)の工程では前記SiN
膜11bをドライエッチング法によりパターニングして
素子分離領域に対応して開口部を形成し、前記SiN膜
11bをマスクに前記熱酸化膜11aをドライエッチン
グ法によりパターニングしてSi基板11を露出する開
口部を形成する。さらに前記露出されたSi基板11
を、前記SiN膜11bをマスクとしたウェットエッチ
ングによりエッチングし、前記開口部に対応して傾斜側
壁面で画成された素子分離溝11A,11Bを形成す
る。
【0046】次に図12(B)の工程で図12(A)の
構造を熱酸化処理し、前記素子分離溝11A,11Bの
側壁面および底面に図示を省略したライナー酸化膜を形
成した後、前記素子分離溝11A,11BをCVD−S
iO2膜で充填し、さらにCMP法により前記CVD−
SiO2膜およびその下のSiN膜11b、またその下
の熱酸化膜11aをCMP法により研磨・除去し、前記
素子分離溝11A,11Bを充填するSiO2素子分離
領域11Cおよび11Dを形成する。
【0047】さらに図12(B)の工程では、露出され
たSi基板11の表面を犠牲酸化し、さらに形成された
犠牲酸化膜をHF処理により除去することにより、新鮮
なSi基板表面を露出する。
【0048】次に図13(C)の工程において、前記S
i基板11表面に先に図1(A)〜図8(J)で説明し
た処理を行い、図8(J)に示す層構造のゲート絶縁膜
12を形成する。
【0049】次に図13(D)の工程において前記ゲー
ト絶縁膜12上にポリシリコン層13を堆積し、図9と
同様な構成を形成する。
【0050】次に図14(E)の工程で前記ポリシリコ
ン膜13およびゲート絶縁膜12をパターニングし、ポ
リシリコンゲート電極13A,13B,13Cを形成す
る。
【0051】図14(E)の工程ではさらに前記ポリシ
リコンゲート電極13A〜13Cをマスクにn型不純物
のイオン注入を行い、前記Si基板11中、前記ゲート
電極13Aの両側にLDD拡散領域14Aを、また前記
ゲート電極13Bの両側にLDD拡散領域14Bを、さ
らに前記ゲート電極13Cの両側にLDD拡散領域14
Cを形成する。
【0052】図14(E)の工程では、さらに前記ゲー
ト電極13A,13Bおよび13Cの側壁に側壁絶縁膜
を形成し、前記ゲート電極13A〜13Cおよび側壁絶
縁膜をマスクにイオン注入を行い、前記LDD拡散領域
14A,14B,14Cのそれぞれ外側に、拡散領域1
5A,15B,15Cを形成する。
【0053】さらに図14(F)の工程では前記ゲート
電極13A〜13Cおよび拡散領域15A〜15Cの表
面にサリサイド工程によりCoSi2などの低抵抗シリ
サイド層13a〜13cおよび15a〜15cを、それ
ぞれ形成する。
【0054】最後に図15(G)の工程において図15
(F)の構造上に、前記ゲート電極13A〜13Cを覆
うようにSiN膜16がCVD法により形成され、さら
に前記SiN膜16上に層間絶縁膜17が形成される。
【0055】図15(G)の工程では、前記層間絶縁膜
17がさらにCMP法により平坦化され、前記拡散領域
15A〜15Cを露出するコンタクトホールを形成した
後、前記コンタクトホールに、前記拡散領域15A〜1
5Cとそれぞれコンタクトするように、コンタクトプラ
グ18A〜18Cを形成する。
【0056】本発明の半導体装置は、図13(C)の工
程においてゲート絶縁膜12として高誘電体ゲート絶縁
膜を形成しているため、ゲート長が0.1μm以下に短
縮され、従って非常に薄いゲート絶縁膜が要求される場
合でも、1〜数ナノメートルの物理膜厚に形成すること
ができ、直接トンネル効果によるゲートリーク電流を抑
制することができる。
【0057】また、本発明の半導体装置では、前記ゲー
ト絶縁膜12として、チャネル領域を構成するSi基板
11に直接接する部分に酸素原子層を形成しているた
め、基板とゲート絶縁膜の界面にダングリングボンドや
界面準位が形成されることがなく、安定した閾値特性が
得られる。
【0058】また本発明の半導体装置では、高誘電体膜
の上下を窒素原子層で狭持しているため、高誘電体膜中
の金属元素や酸素がSi基板11あるいはポリシリコン
ゲート電極13A〜13Cに拡散することがなく、良好
な閾値特性が保証される。またポリシリコンゲート電極
からSi基板への、ゲート絶縁膜12を通ったドーパン
ト不純物元素の拡散も抑制され、閾値特性の変動が抑制
される。
【0059】さらに本実施例では前記窒素原子層がSi
基板表面か前記酸素原子層およびSi原子層により隔て
られた位置に形成されるため、窒素原子の固定電荷によ
る閾値特性の変動を抑制することができる。
【0060】さらに本実施例では、前記高誘電体層の上
下面が酸素原子層で終端されているため、高誘電体層中
のZrやHfなどの金属元素の原子価が安定し、比誘電
率の低下などの問題を回避することができる。 [第3実施例]図16は、本発明の第3実施例によるC
MOS半導体装置20の構成を示す。
【0061】図16を参照するに、CMOS半導体装置
20は素子分離領域22A,22Bにより、pチャネル
MOS領域20AとnチャネルMOS領域20Bとに分
割されたp型Si基板21上に形成されており、前記p
チャネルMOS領域20Aにはn型ウェル20Wが形成
されている。
【0062】前記素子領域20A上にはチャネル領域に
対応して、先の図8(J)と同様な層構造の高誘電体ゲ
ート絶縁膜23Aが形成されており、前記ゲート絶縁膜
23A上にはBでドープされたポリシリコンゲート電極
24Aが形成されている。さらに前記ポリシリコンゲー
ト電極24A上にはサリサイド工程により形成されたシ
リサイド層25Aが形成されている。さらに前記ポリシ
リコンゲート電極25Aの両側には側壁絶縁膜が形成さ
れている。
【0063】前記素子領域20Aでは前記ウェル20W
中に、前記ゲート電極24Aの両側壁面に対応して、B
のイオン注入によりp型のLDD領域26Lpが形成さ
れ、さらに側壁絶縁膜の外側にp+型拡散領域26pが
形成されている。また前記p+型拡散領域の表面にはシ
リサイド低抵抗層27pが形成されている。
【0064】同様に前記素子領域20Bではチャネル領
域に対応して、先の図8(J)と同様な層構造の高誘電
体ゲート絶縁膜23Bが形成されており、前記ゲート絶
縁膜23B上にはAsあるいはPでドープされたポリシ
リコンゲート電極24Bが形成されている。さらに前記
ポリシリコンゲート電極24B上にはサリサイド工程に
より形成されたシリサイド層25Bが形成されている。
さらに前記ポリシリコンゲート電極25Bの両側には側
壁絶縁膜が形成されている。
【0065】前記素子領域20Bでは前記ゲート電極2
4Bの両側壁面に対応して、AsまたはPのイオン注入
によりn型のLDD領域26Lnが形成され、さらに側
壁絶縁膜の外側にn+型拡散領域26nが形成されてい
る。また前記n+型拡散領域26nの表面にはシリサイ
ド低抵抗層27nが形成されている。
【0066】かかる構成のCMOS装置では、図8
(J)に示す構造の高誘電体ゲート絶縁膜を使うことに
より、pチャネルMOSトランジスタおよびnチャネル
MOSトランジスタの各々を0.1μm以下の非常に短
いゲート長に形成し、これに応じてゲート絶縁膜の酸化
膜換算膜厚を減少させた場合でも、ゲート絶縁膜23
A,23Bを1〜数ナノメートルの物理膜厚に形成で
き、トンネル電流によるゲートリーク電流の増大を回避
することができる。
【0067】図8(J)の構造の高誘電体ゲート絶縁膜
では、膜中に窒素原子層NL1およびNL2が形成されて
いるため、ゲート絶縁膜23Aあるいは23Bを介した
酸素やHfあるいはZrなどの金属元素の拡散は効果的
に抑制される。またSiチャネル領域に接する部分に高
品質のSiO2分子層が形成されるため、Si基板とゲ
ート絶縁膜との間の界面におけるダングリングボンドや
界面準位の形成が回避され、安定した閾値特性が得られ
る。また窒素原子層NL1がSi基板表面から離間して
形成されるため、窒素原子の固定電荷による閾値特性の
変動も回避される。
【0068】特に図16のCMOS半導体装置では、p
型にドープされたポリシリコンゲート電極24Aを使う
pチャネルMOSトランジスタにおいて、前記窒素原子
層NL1およびNL2の形成により、ポリシリコンゲート
電極24AからのBのSi基板21への拡散が抑制さ
れ、閾値特性の変動をもたらすチャネル領域のドーピン
グが回避される。
【0069】以上、本発明を好ましい実施例について説
明したが、本発明はかかる特定の実施例に限定されるも
のではなく、特許請求の範囲に記載した要旨内において
様々な変形・変更が可能である。
【0070】(付記1) Si結晶層よりなるチャネル
領域と、前記チャネル領域上に形成され、前記Si結晶
層上に形成されたSiO2層と、前記SiO2層上に形成
された第1のSiN層と、前記第1のSiN層上に形成
された、高誘電体金属酸化物層と、前記高誘電体金属酸
化物層上に形成された第2のSiN層とを含むゲート絶
縁膜と、前記ゲート絶縁膜上に形成されたゲート電極と
よりなることを特徴とする半導体装置。
【0071】(付記2) 前記SiO2層は、前記Si
基板表面を一様に覆う酸素原子層を含むことを特徴とす
る付記1記載の半導体装置。
【0072】(付記3) 前記酸素原子層は、1原子層
分の酸素原子層であることを特徴とする付記2記載の半
導体装置。
【0073】(付記4) 前記第1のSiN層は、前記
SiO2層表面を一様に覆う窒素原子層を含むことを特
徴とする付記1〜3のうち、いずれか一項記載の半導体
装置。
【0074】(付記5) 前記第1のSiN層におい
て、前記窒素原子層は、1原子層分の窒素原子層である
ことを特徴とする付記2記載の半導体装置。
【0075】(付記6) 前記SiO2層において、前
記酸素原子層中の酸素原子の90%以上が、前記Si結
晶層表面のSi原子に結合していることを特徴とする付
記1〜5のうち、いずれか一項記載の半導体装置。
【0076】(付記7) 前記第1のSiN層におい
て、前記窒素原子層中の窒素原子の90%以上が、前記
SiO2層中のSi原子に結合していることを特徴とす
る付記1〜6のうち、いずれか一項記載の半導体装置。
【0077】(付記8) 前記SiO2層は約1分子層
分の厚さを有し、前記SiN層および前記別のSiN層
は約1分子層の厚さを有することを特徴とする付記1〜
7のうち、いずれか一項記載の半導体装置。
【0078】(付記9) 前記高誘電体金属酸化物層の
表面は、酸素原子よりなる酸素原子層により一様に覆わ
れていることを特徴とする付記1〜8のうち、いずれか
一項記載の半導体装置。
【0079】(付記10) 前記第2のSiN層におい
て、前記窒素原子層は、前記高誘電体金属酸化物層を一
様に覆う窒素原子層を含むことを特徴とする付記1〜9
のうち、いずれか一項記載の半導体装置。
【0080】(付記11) 前記第2のSiN層におい
て、前記窒素原子層は1原子層分の窒素原子層であるこ
とを特徴とする付記10記載の半導体装置。
【0081】(付記12) 前記第2のSiN層におい
て、前記窒素原子層中の窒素原子は、主として前記高誘
電体金属酸化物層表面を覆う酸素原子層中の酸素原子と
結合していることを特徴とする付記9記載の半導体装
置。
【0082】(付記13) 前記高誘電体金属酸化物層
は、1原子層分の酸素原子よりなる酸素原子層と1原子
層分の金属原子よりなる金属原子層とを交互に繰り返す
構造を有することを特徴とする付記1〜12のうち、い
ずれか一項記載の半導体装置。
【0083】(付記14) 前記高誘電体金属酸化物層
は、Zr,Hf,Sr,Ba,Ta,Ti,Y,Lrお
よびランタノイド族金属元素のうちの少なくとも一つか
ら選ばれる金属元素の酸化物あるいはシリケートである
ことを特徴とする付記1〜13のうち、いずれか一項記
載の半導体装置。
【0084】(付記15) 前記ゲート電極はポリシリ
コンよりなることを特徴とする付記1〜14のうち、い
ずれか一項記載の半導体装置。
【0085】(付記16) Si基板と、前記Si基板
上に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に
形成されたゲート電極とよりなる半導体装置であって、
前記ゲート絶縁膜は、各々Si基板表面のSi原子に結
合した酸素原子よりなる酸素原子層と、各々前記酸素原
子層中の酸素原子に結合したSi原子よりなるSi原子
層と、各々前記Si原子層中のSi原子に結合した窒素
原子よりなる窒素原子層と、各々前記窒素原子層中の窒
素原子に結合したSi原子とよりなる第1の絶縁膜と、
各々前記Si原子層中のSi原子に結合した酸素原子よ
りなる酸素原子層と、各々前記酸素原子層中の酸素原子
に結合した金属原子よりなる金属原子層と、各々前記金
属原子層中の金属原子に結合した酸素原子よりなる酸素
原子層とを含み、最上部に金属原子層中の金属原子に結
合した酸素原子よりなる最上部酸素原子層を有する第2
の絶縁膜と、各々前記最上部酸素原子層中の酸素原子に
結合したSi原子よりなり前記最上部酸素原子層を覆う
Si原子層と、各々前記最上部酸素原子層を覆う前記S
i原子層中のSi原子に結合した窒素原子よりなる窒素
原子層とよりなる第3の絶縁膜とより構成されることを
特徴とする半導体装置。
【0086】(付記17) 第1の導電型の第1の素子
領域と第2の導電型の第2の素子領域とを素子分離領域
により画成された基板と、前記基板上の前記第1の領域
に形成された第1のゲート絶縁膜と、前記基板上の前記
第2の領域に形成された第2のゲート絶縁膜と、前記第
1のゲート絶縁膜上に形成された第1のゲート電極と、
前記第2のゲート絶縁膜上に形成された第2のゲート電
極とを備えた相補型半導体装置であって、前記第1およ
び第2のゲート絶縁膜は、各々Si基板表面のSi原子
に結合した酸素原子よりなる酸素原子層と、各々前記酸
素原子層中の酸素原子に結合したSi原子よりなるSi
原子層と、各々前記Si原子層中のSi原子に結合した
窒素原子よりなる窒素原子層と、各々前記窒素原子層中
の窒素原子に結合したSi原子とよりなる第1の絶縁膜
と、各々前記Si原子層中のSi原子に結合した酸素原
子よりなる酸素原子層と、各々前記酸素原子層中の酸素
原子に結合した金属原子よりなる金属原子層と、各々前
記金属原子層中の金属原子に結合した酸素原子よりなる
酸素原子層とを含み、最上部に金属原子層中の金属原子
に結合した酸素原子よりなる最上部酸素原子層を有する
第2の絶縁膜と、各々前記最上部酸素原子層中の酸素原
子に結合したSi原子よりなり前記最上部酸素原子層を
覆うSi原子層と、各々前記最上部酸素原子層を覆う前
記Si原子層中のSi原子に結合した窒素原子よりなる
窒素原子層とよりなる第3の絶縁膜とより構成されるこ
とを特徴とする相補型半導体装置。
【0087】(付記18) 半導体装置の製造方法であ
って、(A) Si基板表面にSiの気相原料を供給
し、1分子層分のSi原料分子を吸着させる工程と、
(B) 前記工程(A)の後、前記Si原料分子を吸着
したSi基板表面に酸素を含むガスを供給し、前記吸着
されているSiの原料分子を酸化し、前記Si基板表面
にSiO2分子層を形成する工程と、(C) 前記工程
(B)の後、前記SiO2分子層で覆われた前記Si基
板表面にSiの気相原料を供給し、1分子層分のSi原
料分子を吸着させる工程と、(D) 前記工程(C)の
後、前記Si原料分子を吸着した前記Si基板表面に窒
素を含むガスを供給し、前記吸着されているSiの原料
分子を窒化し、前記Si基板表面にSiN分子層を形成
する工程と、(E) 前記工程(D)の後、前記SiN
分子層で覆われた前記Si基板表面に、酸素を含むガス
と金属元素を含む原料ガスとを交互に供給し、高誘電体
金属酸化膜を形成する工程と、(F) 前記工程(E)
の後、前記高誘電体金属酸化膜で覆われた前記基板表面
にSiの気相原料を供給し、1分子層分のSi原料分子
を吸着させる工程と、(G) 前記工程(F)の後、前
記Si原料分子層で覆われた前記基板表面に窒素を含む
ガスを供給し、前記吸着されているSiの原料分子を窒
化し、前記Si基板表面にSiN分子層を形成する工程
とよりなることを特徴とする半導体装置の製造方法。
【0088】(付記19) 前記工程(E)は、前記S
i基板表面に前記酸素を含むガスを供給する工程で開始
され、前記Si基板表面に前記酸素を含むガスを供給す
る工程で終了されることを特徴とする請求項18記載の
半導体装置の製造方法。
【0089】
【発明の効果】本発明によれば、Si基板表面を直接に
酸素原子層により覆っているため、Si基板表面を窒素
原子層で覆った場合のようなダングリングボンドの形成
が生じることはなく、半導体装置の電気特性が安定す
る。また本発明によれば、高誘電体ゲート絶縁膜中に酸
素原子層の他に窒素原子層が含まれるため、このような
窒素原子層は、高誘電体ゲート絶縁膜を通過するBなど
の不純物元素の拡散、また酸素の拡散、さらにZrなど
の金属元素の拡散を効果的に抑制し、その結果、Si基
板とゲート絶縁膜、およびゲート絶縁膜とゲート電極と
の界面における反応が抑制され、酸化膜換算膜厚の小さ
い高誘電体ゲート絶縁膜を有する超高速半導体装置を、
安定に、再現性良く製造することが可能になる。
【0090】また本発明の半導体装置では、Si基板表
面と窒素原子層との間に酸素原子層とSi原子層とが介
在するため窒素原子層がSi基板表面から離間し、その
結果、窒素原子の固定電荷に起因する半導体装置の動作
特性の変化が効果的に抑制される。
【図面の簡単な説明】
【図1】(A),(B)は、本発明の第1実施例による
半導体装置の製造工程を示す図(その1)である。
【図2】(C),(D)は、本発明の第1実施例による
半導体装置の製造工程を示す図(その2)である。
【図3】(E)は、本発明の第1実施例による半導体装
置の製造工程を示す図(その3)である。
【図4】(F)は、本発明の第1実施例による半導体装
置の製造工程を示す図(その4)である。
【図5】(G)は、本発明の第1実施例による半導体装
置の製造工程を示す図(その5)である。
【図6】(H)は、本発明の第1実施例による半導体装
置の製造工程を示す図(その6)である。
【図7】(I)は、本発明の第1実施例による半導体装
置の製造工程を示す図(その7)である。
【図8】(J)は、本発明の第1実施例による半導体装
置の製造工程を示す図(その8)である。
【図9】図8(J)の構成をもとに、ゲートリーク電流
の測定のために作製された試料の構成を示す図である。
【図10】図9の試料において、図8(J)の窒素原子
層を形成しなかった場合のリーク電流特性を示す図であ
る。
【図11】図9の試料において、図8(J)の窒素原子
層を形成した場合のリーク電流特性を示す図である。
【図12】(A),(B)は、本発明の第2実施例によ
る半導体装置の製造工程を説明する図(その1)であ
る。
【図13】(C),(D)は、本発明の第2実施例によ
る半導体装置の製造工程を説明する図(その2)であ
る。
【図14】(E),(F)は、本発明の第2実施例によ
る半導体装置の製造工程を説明する図(その3)であ
る。
【図15】(G)は、本発明の第2実施例による半導体
装置の製造工程を説明する図(その4)である。
【図16】本発明の第3実施例によるCMOS半導体装
置の構成を示す図である。
【符号の説明】
1,11,21 Si基板 2 SiO2分子層 3 SiN分子層 4 HfO2層 5,13 ポリシリコン層 10 半導体装置 11A,11B 溝 11C,11D,22 素子分離領域 12 高誘電体ゲート絶縁膜 13A,13B,13C,24A,24B ポリシリコ
ンゲート電極 13a,13b,13c シリサイド領域 14A〜14C,26Lp,26Ln LDD領域 15A〜15C,26p,26n 拡散領域 15a,15b,15c,25a,25b,27p,2
7n シリサイド領域 20 CMOS半導体装置 20A,20B 素子領域 20W ウェル 23A,23B 高誘電体ゲート電極
───────────────────────────────────────────────────── フロントページの続き (72)発明者 杉田 義博 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内 (72)発明者 谷田 義明 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内 (72)発明者 射場 義久 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内 Fターム(参考) 5F048 AA01 AA07 AC01 AC03 BB03 BB04 BB05 BB06 BB07 BB08 BB09 BB11 BB12 BB13 BC06 BF06 BF11 BF16 BG14 DA25 5F140 AA01 AA06 AA24 AA28 AA39 AB03 BA01 BA20 BD00 BD02 BD05 BD07 BD11 BD12 BD13 BD20 BE01 BE03 BE09 BE16 BE19 BF01 BF04 BF11 BF18 BG08 BG34 BG46 BH15 BJ08 BJ11 BJ27 BK02 BK13 BK25 BK34 CB04 CB08 CC01 CC08 CC12 CE07 CF04

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】 Si結晶層よりなるチャネル領域と、 前記チャネル領域上に形成され、前記Si結晶層上に形
    成されたSiO層と、前記SiO層上に形成された第1
    のSiN層と、前記第1のSiN層上に形成された、高
    誘電体金属酸化物層と、前記高誘電体金属酸化物層上に
    形成された第2のSiN層とを含むゲート絶縁膜と、 前記ゲート絶縁膜上に形成されたゲート電極とよりなる
    ことを特徴とする半導体装置。
  2. 【請求項2】 前記SiO層は、前記Si基板表面を一
    様に覆う酸素原子層を含むことを特徴とする請求項1記
    載の半導体装置。
  3. 【請求項3】 前記第1のSiN層は、前記SiO層表
    面を一様に覆う窒素原子層を含むことを特徴とする請求
    項1または2記載の半導体装置。
  4. 【請求項4】 前記高誘電体金属酸化物層の表面は、酸
    素原子よりなる酸素原子層により一様に覆われているこ
    とを特徴とする請求項1〜3のうち、いずれか一項記載
    の半導体装置。
  5. 【請求項5】 前記第2のSiN層において、前記窒素
    原子層は、前記高誘電体金属酸化物層を一様に覆う窒素
    原子層を含むことを特徴とする請求項1〜4のうち、い
    ずれか一項記載の半導体装置。
  6. 【請求項6】 前記第2のSiN層において、前記窒素
    原子層中の窒素原子は、主として前記高誘電体金属酸化
    物層表面を覆う酸素原子層中の酸素原子と結合している
    ことを特徴とする請求項5記載の半導体装置。
  7. 【請求項7】 前記高誘電体金属酸化物層は、1原子層
    分の酸素原子よりなる酸素原子層と1原子層分の金属原
    子よりなる金属原子層とを交互に繰り返す構造を有する
    ことを特徴とする請求項1〜6のうち、いずれか一項記
    載の半導体装置。
  8. 【請求項8】 Si基板と、 前記Si基板上に形成されたゲート絶縁膜と、 前記ゲート絶縁膜上に形成されたゲート電極とよりなる
    半導体装置であって、 前記ゲート絶縁膜は、 各々Si基板表面のSi原子に結合した酸素原子よりな
    る酸素原子層と、各々前記酸素原子層中の酸素原子に結
    合したSi原子よりなるSi原子層と、各々前記Si原
    子層中のSi原子に結合した窒素原子よりなる窒素原子
    層と、各々前記窒素原子層中の窒素原子に結合したSi
    原子とよりなる第1の絶縁膜と、 各々前記Si原子層中のSi原子に結合した酸素原子よ
    りなる酸素原子層と、各々前記酸素原子層中の酸素原子
    に結合した金属原子よりなる金属原子層と、各々前記金
    属原子層中の金属原子に結合した酸素原子よりなる酸素
    原子層とを含み、最上部に金属原子層中の金属原子に結
    合した酸素原子よりなる最上部酸素原子層を有する第2
    の絶縁膜と、 各々前記最上部酸素原子層中の酸素原子に結合したSi
    原子よりなり前記最上部酸素原子層を覆うSi原子層
    と、各々前記最上部酸素原子層を覆う前記Si原子層中
    のSi原子に結合した窒素原子よりなる窒素原子層とよ
    りなる第3の絶縁膜とより構成されることを特徴とする
    半導体装置。
  9. 【請求項9】 第1の導電型の第1の素子領域と第2の
    導電型の第2の素子領域とを素子分離領域により画成さ
    れた基板と、 前記基板上の前記第1の領域に形成された第1のゲート
    絶縁膜と、 前記基板上の前記第2の領域に形成された第2のゲート
    絶縁膜と、 前記第1のゲート絶縁膜上に形成された第1のゲート電
    極と、 前記第2のゲート絶縁膜上に形成された第2のゲート電
    極とを備えた相補型半導体装置であって、 前記第1および第2のゲート絶縁膜は、各々Si基板表
    面のSi原子に結合した酸素原子よりなる酸素原子層
    と、各々前記酸素原子層中の酸素原子に結合したSi原
    子よりなるSi原子層と、各々前記Si原子層中のSi
    原子に結合した窒素原子よりなる窒素原子層と、各々前
    記窒素原子層中の窒素原子に結合したSi原子とよりな
    る第1の絶縁膜と、 各々前記Si原子層中のSi原子に結合した酸素原子よ
    りなる酸素原子層と、各々前記酸素原子層中の酸素原子
    に結合した金属原子よりなる金属原子層と、各々前記金
    属原子層中の金属原子に結合した酸素原子よりなる酸素
    原子層とを含み、最上部に金属原子層中の金属原子に結
    合した酸素原子よりなる最上部酸素原子層を有する第2
    の絶縁膜と、 各々前記最上部酸素原子層中の酸素原子に結合したSi
    原子よりなり前記最上部酸素原子層を覆うSi原子層
    と、各々前記最上部酸素原子層を覆う前記Si原子層中
    のSi原子に結合した窒素原子よりなる窒素原子層とよ
    りなる第3の絶縁膜とより構成されることを特徴とする
    相補型半導体装置。
JP2002077055A 2001-03-29 2002-03-19 半導体装置の製造方法 Expired - Lifetime JP3792589B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002077055A JP3792589B2 (ja) 2001-03-29 2002-03-19 半導体装置の製造方法
KR1020020016641A KR100757645B1 (ko) 2001-03-29 2002-03-27 반도체 장치 및 상보형 반도체 장치
TW091106211A TW544735B (en) 2001-03-29 2002-03-28 Semiconductor device having a high-dielectric gate insulation film and fabrication process thereof
CNB021218447A CN1206736C (zh) 2001-03-29 2002-03-29 半导体装置、互补型半导体装置
US10/109,001 US6894369B2 (en) 2001-03-29 2002-03-29 Semiconductor device having a high-dielectric gate insulation film and fabrication process thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001097128 2001-03-29
JP2001-97128 2001-03-29
JP2002077055A JP3792589B2 (ja) 2001-03-29 2002-03-19 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2002359370A true JP2002359370A (ja) 2002-12-13
JP3792589B2 JP3792589B2 (ja) 2006-07-05

Family

ID=26612622

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002077055A Expired - Lifetime JP3792589B2 (ja) 2001-03-29 2002-03-19 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US6894369B2 (ja)
JP (1) JP3792589B2 (ja)
KR (1) KR100757645B1 (ja)
CN (1) CN1206736C (ja)
TW (1) TW544735B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002314067A (ja) * 2001-04-13 2002-10-25 Toshiba Corp 半導体装置およびmis型電界効果トランジスタの製造方法
WO2004086510A1 (ja) * 2003-03-24 2004-10-07 Horiba, Ltd. 半導体装置とその製造方法
JP2005023199A (ja) * 2003-07-02 2005-01-27 Chisso Corp 機能性超薄膜およびその形成方法
JP2005505920A (ja) * 2001-10-02 2005-02-24 エーエスエム アメリカ インコーポレイテッド 高k誘電膜への窒素の取り込み
WO2005093845A1 (ja) * 2004-03-25 2005-10-06 National Institute Of Advanced Industrial Science And Technology 半導体装置およびその製造方法
JPWO2004114390A1 (ja) * 2003-06-20 2006-08-03 日本電気株式会社 半導体装置及びその製造方法
US7265401B2 (en) 2005-01-28 2007-09-04 Fujitsu Limited Semiconductor device having high dielectric constant gate insulating layer and its manufacture method
US7743614B2 (en) 2005-04-08 2010-06-29 Bsst Llc Thermoelectric-based heating and cooling system
US10106011B2 (en) 2009-05-18 2018-10-23 Gentherm Incorporated Temperature control system with thermoelectric device

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3792589B2 (ja) * 2001-03-29 2006-07-05 富士通株式会社 半導体装置の製造方法
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6607973B1 (en) * 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
JP3776889B2 (ja) * 2003-02-07 2006-05-17 株式会社東芝 半導体装置およびその製造方法
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
JP2005064317A (ja) * 2003-08-18 2005-03-10 Semiconductor Leading Edge Technologies Inc 半導体装置
JP4059183B2 (ja) * 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7380586B2 (en) * 2004-05-10 2008-06-03 Bsst Llc Climate control system for hybrid vehicles using thermoelectric devices
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US8783397B2 (en) 2005-07-19 2014-07-22 Bsst Llc Energy management system for a hybrid-electric vehicle
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7870745B2 (en) * 2006-03-16 2011-01-18 Bsst Llc Thermoelectric device efficiency enhancement using dynamic feedback
US7779639B2 (en) * 2006-08-02 2010-08-24 Bsst Llc HVAC system for hybrid vehicles using thermoelectric devices
US20100155018A1 (en) * 2008-12-19 2010-06-24 Lakhi Nandlal Goenka Hvac system for a hybrid vehicle
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
CN104990301B (zh) * 2007-05-25 2019-04-16 詹思姆公司 分配式热电加热和冷却的系统和方法
US7759237B2 (en) * 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US7998820B2 (en) * 2007-08-07 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. High-k gate dielectric and method of manufacture
EP2291856A4 (en) * 2008-06-27 2015-09-23 Semiconductor Energy Lab THIN FILM TRANSISTOR
JP5124376B2 (ja) * 2008-07-22 2013-01-23 富士フイルム株式会社 内視鏡の光学系装置およびこれを備えた内視鏡
US8613200B2 (en) 2008-10-23 2013-12-24 Bsst Llc Heater-cooler with bithermal thermoelectric device
JP6005754B2 (ja) 2011-11-17 2016-10-12 ジェンサーム インコーポレイテッドGentherm Incorporated 界面材料を有する熱電デバイスおよびその製造方法
US11075331B2 (en) 2018-07-30 2021-07-27 Gentherm Incorporated Thermoelectric device having circuitry with structural rigidity

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100256137B1 (ko) * 1996-03-26 2000-05-15 아사무라 타카싯 반도체장치및그제조방법
KR100567299B1 (ko) * 1998-03-27 2006-04-04 텍사스 인스트루먼츠 인코포레이티드 반도체 장치 및 반도체 장치의 게이트 구조 제조 방법
JP3513018B2 (ja) * 1998-06-30 2004-03-31 株式会社東芝 半導体装置及びその製造方法
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6294807B1 (en) * 1999-02-26 2001-09-25 Agere Systems Guardian Corp. Semiconductor device structure including a tantalum pentoxide layer sandwiched between silicon nitride layers
JP2000349285A (ja) * 1999-06-04 2000-12-15 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6770974B2 (en) * 2000-06-30 2004-08-03 Sony Corporation Semiconductor device and its manufacturing method
US6602753B2 (en) * 2000-07-26 2003-08-05 Kabushiki Kaisha Toshiba Semiconductor device having a gate insulating film comprising a metal oxide and method of manufacturing the same
JP3792589B2 (ja) * 2001-03-29 2006-07-05 富士通株式会社 半導体装置の製造方法
KR101030068B1 (ko) * 2002-07-08 2011-04-19 니치아 카가쿠 고교 가부시키가이샤 질화물 반도체 소자의 제조방법 및 질화물 반도체 소자

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002314067A (ja) * 2001-04-13 2002-10-25 Toshiba Corp 半導体装置およびmis型電界効果トランジスタの製造方法
US7569284B2 (en) 2001-10-02 2009-08-04 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
JP2005505920A (ja) * 2001-10-02 2005-02-24 エーエスエム アメリカ インコーポレイテッド 高k誘電膜への窒素の取り込み
WO2004086510A1 (ja) * 2003-03-24 2004-10-07 Horiba, Ltd. 半導体装置とその製造方法
US8044452B2 (en) 2003-03-24 2011-10-25 Rohm Co., Ltd. Semiconductor device and method for manufacturing the same
JP2011151409A (ja) * 2003-06-20 2011-08-04 Nec Corp 半導体装置及びその製造方法
JPWO2004114390A1 (ja) * 2003-06-20 2006-08-03 日本電気株式会社 半導体装置及びその製造方法
JP4747840B2 (ja) * 2003-06-20 2011-08-17 日本電気株式会社 半導体装置の製造方法
JP2005023199A (ja) * 2003-07-02 2005-01-27 Chisso Corp 機能性超薄膜およびその形成方法
WO2005093845A1 (ja) * 2004-03-25 2005-10-06 National Institute Of Advanced Industrial Science And Technology 半導体装置およびその製造方法
US7265401B2 (en) 2005-01-28 2007-09-04 Fujitsu Limited Semiconductor device having high dielectric constant gate insulating layer and its manufacture method
US7743614B2 (en) 2005-04-08 2010-06-29 Bsst Llc Thermoelectric-based heating and cooling system
US8408012B2 (en) 2005-04-08 2013-04-02 Bsst Llc Thermoelectric-based heating and cooling system
US10106011B2 (en) 2009-05-18 2018-10-23 Gentherm Incorporated Temperature control system with thermoelectric device
US11203249B2 (en) 2009-05-18 2021-12-21 Gentherm Incorporated Temperature control system with thermoelectric device

Also Published As

Publication number Publication date
KR100757645B1 (ko) 2007-09-10
JP3792589B2 (ja) 2006-07-05
CN1206736C (zh) 2005-06-15
US20020146916A1 (en) 2002-10-10
US6894369B2 (en) 2005-05-17
KR20020077126A (ko) 2002-10-11
CN1384549A (zh) 2002-12-11
TW544735B (en) 2003-08-01

Similar Documents

Publication Publication Date Title
JP2002359370A (ja) 半導体装置、相補型半導体装置
JP4212435B2 (ja) 半導体装置およびその製造方法
JP5535706B2 (ja) 半導体装置の製造方法
US6992357B2 (en) Semiconductor device and method of manufacturing the same
JP5282419B2 (ja) 半導体装置及びその製造方法
KR101100428B1 (ko) SRO(Silicon Rich Oxide) 및 이를적용한 반도체 소자의 제조방법
JP5126060B2 (ja) 半導体装置及びその製造方法
US8350335B2 (en) Semiconductor device including off-set spacers formed as a portion of the sidewall
JP4607645B2 (ja) 半導体装置及びその製造方法
JP2012004577A (ja) 高誘電率のゲート絶縁膜を有する半導体装置及びそれの製造方法
KR20080079940A (ko) Cmos 반도체 소자 및 그 제조방법
JP2008060538A (ja) 半導体装置およびその製造方法
JP2005191482A (ja) 半導体装置及びその製造方法
JP4574951B2 (ja) 半導体装置及びその製造方法
JP2005064317A (ja) 半導体装置
JP2009522796A (ja) 同じ基板上に同じ導電型の低性能及び高性能デバイスを有する半導体デバイス構造体
JP2005064523A (ja) 半導体装置のキャパシタとその製造方法、およびそのキャパシタを備えるメモリ装置
JP2003023152A (ja) Mis型トランジスタ及びその製造方法
KR100685748B1 (ko) 박막 형성 방법 및 이를 이용한 게이트 구조물의 제조 방법
JP2006024894A (ja) 高誘電率のゲート絶縁膜を有する半導体装置及びそれの製造方法
JP2006049882A (ja) 原子層の積層方法、これを用いたゲート構造物の製造方法、及びキャパシタの製造方法
KR101212567B1 (ko) 반도체 장치 및 반도체 장치의 제조방법
JP2004241733A (ja) 半導体装置及びその製造方法
JP5177980B2 (ja) 半導体装置およびその製造方法
JP5141321B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051128

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20051220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060213

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060301

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060405

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3792589

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090414

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090414

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100414

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110414

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110414

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110414

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120414

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130414

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130414

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140414

Year of fee payment: 8

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term