JP2001525998A - 集積回路とその素子と製造方法 - Google Patents

集積回路とその素子と製造方法

Info

Publication number
JP2001525998A
JP2001525998A JP55029198A JP55029198A JP2001525998A JP 2001525998 A JP2001525998 A JP 2001525998A JP 55029198 A JP55029198 A JP 55029198A JP 55029198 A JP55029198 A JP 55029198A JP 2001525998 A JP2001525998 A JP 2001525998A
Authority
JP
Japan
Prior art keywords
trench
layer
silicon
oxide
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP55029198A
Other languages
English (en)
Inventor
ノルストロム、ハンス、エリク
ホン、サム−ヒョ
リンドグレン、ボ、アンデルス
ラルソン、トルブヨルン
Original Assignee
テレフオンアクチーボラゲツト エル エム エリクソン
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テレフオンアクチーボラゲツト エル エム エリクソン filed Critical テレフオンアクチーボラゲツト エル エム エリクソン
Publication of JP2001525998A publication Critical patent/JP2001525998A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/082Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including bipolar components only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0821Collector regions of bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41708Emitter or collector electrodes for bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Element Separation (AREA)

Abstract

(57)【要約】 本発明は高速通信用の集積回路におけるコレクタピンおよびトレンチに関し、更にこれら部品のための製造方法に関する。半導体構造体(144)内に含まれる上方シリコン表面から周辺のフィールド酸化膜(120)の深さよりも浅い深さまで下方にイオン打ち込みを行うことによりイオン打ち込みによって損傷を受けた、すなわちアモルファス状とされ、少なくとも一部がドープされた領域(139)を形成することによりコレクタピンを形成し、次に半導体構造体(144)を熱処理する。上方シリコン表面(109a)の所定領域のカバーを除き、所定領域内の半導体構造体(144)を所定深さまでエッチングし、特にトレンチ内で半導体構造体上に、好ましくはLPCVD−TEOSタイプの第1酸化膜(129)を均一にデポジットし、第1酸化膜(129)の上に好ましくは窒化シリコンから成るバリア層(130)を均一にデポジットし、後にエッチバックするシリコン層(134、135)を特にトレンチ(126)内で、窒化膜(130)の上にシリコン層(134、135)をデポジットすることによりトレンチ(126)を充填し、このトレンチ充填層(134)上にキャップ酸化膜(136)を熱成長させることによって、トレンチ(126)を形成する。

Description

【発明の詳細な説明】 集積回路とその素子と製造方法 技術分野 本発明はバイポーラ・プロセスでの集積回路の製造において、コレクタピンと 、集積回路内の半導体素子を絶縁する溝を製作する方法と、コレクタピンと溝と 集積回路に関する。コレクタピンと溝と集積回路は、主として、高い性能特性の 素子を必要とする無線やその他の高速通信に用いられるものである。 従来の技術 従来、集積回路の製造において集積回路の素子の絶縁には、いわゆるLOCO S(シリコンのローカル酸化)絶縁と接合部絶縁を用いている。例えば、J.A. Apples他の「シリコンのローカル酸化と半導体技術におけるその応用(Local Oxi dation of Silicon and its application in Semiconductor Technology)」、Ph ilips Res.Rep.vol.25,1970,pp.118-132、を参照していただきたい。 しかしRF−IC(無線周波数・集積回路)用のバイポーラ素子の製造におい ては、シリコン基板内の個々の素子はエッチされた溝で相互に絶縁するのが普通 である。例えば、米国特許第4,139,442号、米国特許第4,789,8 85号、P.C.Hunt他の「プロセスHE:アナログおよびディジタル用の最新の 溝絶縁バイポーラ技術(Process HE:A Highly Advanced Trench Isolated Bipola r Technology for Analogue and Digital Applicaitons)」、Proc.IEEE 1988 Custom & Integr.Circuits Conf.Rochester N.Y.,May 16-19,1988、およびA .Hayasaka他の「高速バイポーラVLSI用のU溝絶縁法(U-Groove Isolation Technique for High Speed Bipolar VLSI's)」、Proc.IEDM,1982,p.62、を参 照していただきたい。 溝絶縁法は、小規模ではあるがCMOS素子の絶縁にも用いられている。例え ば、R.D.Rung他の「深溝絶縁のCMOSデバイス(Deep trench isolated CMOS Devices)」、IEDM,Techn.Dig.Paper 9.6,1982、を参照していただきたい。 シリコン内に深くエッチされた、例えばバイポーラ・トランジスタなどの半導 体素子を囲む溝により、底部拡散層と基板の間の静電容量は大幅に減少する。同 時に、隣接の素子との間の絶縁が良くなる。すなわち、漏話が減少し、同時にト ランジスタ・セルの寸法を小さくすることができる。 溝絶縁の別の利点は、溝の深さを約5−10μmにすると、基板の全エピ層( すなわち、活動的な表面層)を、低抵抗の強くドープされたシリコン基板まで貫 通できることである。したがって絶縁特性が得られ、ラッチアップの危険が減少 する。例えば、V dela Torre他の「MOSAIC V−A 超高性能バイポーラ 技術(MOSAIC V-A Very High Performance Bipolar Technology)」、Proc.BCTM ,1991,p.21、を参照していただきたい。 以下に図1−3を参照して、npn型のバイポーラ・トランジスタを製造する ときに一般に用いられている、溝を作る方法を説明する。 原材料として、図1に示す(100)配向を持つ弱くドープされたp型の単結 晶のシリコン基板1を用いる。n型の強くドープされた底部拡散層すなわち埋込 みコレクタ層2(例えば、砒素またはアンチモニーのイオン注入層で良い)を作 り、次に厚さ約1−2μmのn型のエピタキシャル・シリコン層3を形成する。 いわゆる溝モジュールを工程の流れに組み込むには、文献によると少なくとも 2つの方法がある。第1の方法は例えばP.C.Hunt他と米国特許第4,983, 226号に述べられており、フィールド領域を規定する(LOCOS法により) 前に溝の処理を行う。第2の方法は文献EP 0,724,291 A2などに 開示されており、フィールド領域を規定した後に溝を作る。どちらの方法でも同 じ最終結果が得られるが、以下に第1の方法だけを述べる。 次に厚さ約1μmのLPCVD(低圧化学的気相成長)酸化物の層4(ハード マスクとして用いる)を平板の上に堆積させる。次にリソグラフィ技術により溝 の開口5aを規定した後、酸化層4をエッチして除き、下部のシリコン表面を露 出させる。次にこの構造からホトレジストを全て除去した後、非等方性ドライ・ エッチングによりエピタキシャル層3と底部拡散層2とシリコン基板1をエッチ して、約5−10μmの所定の深さの溝5を作る(図1参照)。 基板は弱くドープされたp型材料なので、チャンネル停止6を作るために、弱 くドープした低エネルギーのホウ素を溝5の底に注入する(図2参照)。チャン ネル停止6は生成される寄生トランジスタ(n+底部拡散層/p基板/n+底部 拡散層)の電流増幅(対応する寄生MOSトランジスタのしきい値電圧を増加さ せる)を抑える。しかしp−/p+型のエピ材料を原材料として用いると、この ような注入は必要ない。 溝のエッチングとイオンの注入の後でハードマスク4を除去し、その後で半導 体構造を熱酸化させて、約100nmの酸化珪素7を得る。次に半導体構造の上 に、特に溝5内に、薄い窒化珪素層8を堆積させ、次に溝に多結晶シリコン9を 充填する。または絶縁材または半絶縁材(例えば酸化珪素)を用いて良い。これ については、米国特許第4,139,442号、または上記のR.D.Rung他に述 べられている。充填材料(Huntの論文では多結晶シリコン)をドライ・エッチン グでエッチして、溝開口5aの外側の窒化珪素層を除去する。 充填材料9をエッチした後、窒化珪素層8をマスクしてエッチし、次にシリコ ンを従来のLOCOS法により酸化して、図3に示すように、厚いフィールド酸 化物領域10と溝開口を覆う蓋酸化物11を形成する。溝に最初から酸化物が充 填されている場合は、もちろん蓋酸化物を追加する必要はない。 底部拡散層2とシリコン表面を接続するコレクタピン12を得た後、窒化珪素 層8と酸化珪素層7の残りの領域を除去する。図3は得られた構造を示す。別の 方法として、米国特許第4,958,213号に述べられているように、溝処理 の前にコレクタピン12に注入しても良い。 上に述べた方法は欠点が多いので、溝絶縁を用いると歩留まりが非常に低下す る。例えば、F.Yang他の「自己調整された二重多結晶バイポーラ接合トランジ スタのコレクタ・エミッタ漏れ特性(Characterization of collector-emitter l eakage in self-aligned double-poly bipolar junction transistros)」、J.E lectrochem.Soc.,vol.140,no.10,1993,p.3033、を参照していただきたい 。 溝絶縁を用いると歩留まりが低下することについて従来一般に行われてきた説 明は、溝処理(溝のエッチング、側壁の酸化、充填、再エッチング、蓋酸化)の ためにシリコン基板に欠陥が生じる、ということである。溝絶縁の問題について の比較的詳細な説明とこれを避ける方法の勧告が、多くの特許の主題であった。 例えば、米国特許第4,983,226号や、EP 0,278,159 A2 や、上に述べた米国特許第4,958,213号などを参照していただきたい。 またこれらの説明は一貫していない。例えば、米国特許第4,958,213 号は、溝の側壁酸化物の厚さが約100nmのとき満足できる機能を果たすと述 べているが、米国特許第4,893,226号では酸化物層の厚さの上限は45 nmを推奨している。米国特許第4,983,226号によると、そうでない場 合は不必要な機械的応力を、したがって転位を生じる。 EP 0,278,159 A2は、溝の内部に多結晶シリコンの薄い層を堆 積させると、後で溝の内部で熱酸化により酸化物に変換すると述べている。この ようにすれば、不必要に強い酸化を避けて、機械的な張力すなわち応力を減らす ことができる。 米国特許第4,958,213号によると、蓋の酸化工程で問題が生じる。し たがって、最終工程で溝の開口の上部を堆積酸化物で再充填して、蓋酸化のとき いわゆるバーズピークを生成することにより生じる機械的張力を減らすよう勧告 されている。これらの勧告はいずれも独立に2度の充填工程とその後の平面化が 必要なので、工程技術が複雑になり製造コストが高くなる。 例えば米国特許第4,983,226号では、より簡単な蓋酸化物の使用を述 べている。垂直なバーズピークができるのを防ぐために、溝内の側壁酸化物の頂 部に窒化珪素の薄い層を用いて、機械的応力を最小にするよう提案されている。 同様な方法が、上に述べたP.C.Hunt他に述べられている。 上に述べた全ての場合において、溝内の充填材料として多結晶シリコンまたは 酸化珪素が提案されている。こうすると充填物の中に空隙ができる。これについ ては、R.D.Rungの論文の図7、ページ577を参照していただきたい。 発明の概要 本発明の目的は、信頼性があり、かつ良好なパフォーマンス特性を有し、少な くとも1つのアイソレーション・トレンチを有する集積回路、特に、無線アプリ ケーション又は他の高速通信向けの集積回路を提供することにある。 本発明の他の目的は、従来技術により発生する恐れのある1以上の問題を回避 するトレンチ・アイソレーション型の集積回路を提供することにある。 本発明の更に他の目的は、転位のないトレンチを有する集積回路を提供するこ とにある。 本発明の更なる他の目的は、信頼性があり、かつ簡単な、前述の特性を有する 集積回路用の製造方法を提供することにある。特に、高い歩留まりを与える製造 方法が追求されている。 本発明の目的は、以下の説明から明らかとなる。 未だ注目されていない問題は、集積回路に設けられるコレクタ・ピンを転位な しに作成できない限り、完全に転位のないトレンチを有するコレクタであっても 、信頼性のないものとなり得るということである。従来技術によるコレクタ・ピ ンのイオン注入時には、トレンチにより取り囲まれた領域に閉じ込められる恐れ がある欠陥又は転位、特にらせん転位が導入される。従って、これらの欠陥は、 活性なp−n接合を貫通する恐れがあり、そのときは漏洩電流が発生する。最悪 の場合、このような集積回路は無用のものとなる。 この問題を認識することにより、転位がなく、信頼性のある集積回路は、従来 技術による転位のないトレンチと、転位のないコレクタ・ピン用の製造方法との 組み合わせることにより、製造することが可能とされる。 本発明によれば、半導体構造によるシリコンの上面にフィールド酸化物により 取り囲まれた所定の領域をエッチングにより露出させたコレクタ開口を設け、注 入損傷した、又はアモルファスが形成され、かつ少なくとも部分的にドーピング されて、上面のシリコン表面から下って前記フィールド酸化物の深さより低い深 さへ延びる領域を設け、所定の投与量及び前記上部シリコン面を介するエネルギ のイオンを注入し、次いで前記半導体構造を熱処理することによった工程を含む 。 好ましくは、前記領域は、2工程により達成され、その第1の工程は、領域ア モルファスの表面領域を、特に、例えばヒ素又アンチモンのイオンのような重い イオンを注入することにより作成することを含む。第2の工程は、その表面領域 がら下へ、リン・イオンのような軽いイオンを落とすにより、前記領域をドーピ ングすることを含む。 更に、前記熱処理は、好ましくは、2工程により実行される。その第1の工程 は、熱処理により底部から、好ましくは、約550〜600℃で約0.5〜1時 間、再結晶化される。次いで、ドーピングされるイオン、特にリン・イオンは、 好ましくは、約950℃で約1時間、アニールすることにより前記半導体構造に 含まれているドーピングされた底部拡散層に向かって拡散するようにされる。 更に、本発明は、前記トレンチ用の改善された製造方法を備えている。前記半 導体構造上、特に前記トレンチには、好ましくは、PECVD(プラズマ・エン ハンスド化学気相成長)TEOS型の酸化物層が均一に堆積される。 更に詳細には、本発明の方法は、ハード・マスク、特にPECVD(プラズマ ・エンハンスド化学気相成長)型の酸化物相を堆積すること、上部シリコン面を 備えた半導体構造上にTEOSを堆積すること、エッチングにより前記上シリコ ン面の所定の領域を露出することによりトレンチ開口を作成すること、前記所定 の領域内の前記半導体構造を所定の深さにエッチングすることによりトレンチを 作成すること、エッチングにより前記ハード・マスク及び前記第1のシリコン層 を除去すること、前記半導体構造上に、特に前記トレンチに、好ましくはPEC VD−TEOS型の第1の酸化物層を均一に堆積すること、好ましくは、前記第 1の酸化物層上に均一にシリコン窒化物の障壁層を堆積すること、前記シリコン 窒化物層上に、特に前記トレンチにシリコン層を堆積して前記トレンチを満たす こと、かつ下層の窒化物層が前記トレンチ開口の外側に露出されるまで前記シリ コン層をエッチングすること、及び前記トレンチ開口上にキャップ酸化物を成長 させることを含む。 好ましくは、前記上部シリコン面は、前記ハード・マスクが堆積される前、好 ましくはポリシリコンの第1のシリコン層が前記酸化物上に堆積される前に、酸 化物により覆われる。 更に、本発明による方法は、丸みのある底部を有するテーパ付きトレンチを作 成すること、前記第1の酸化物層が堆積される前にウエット・エッチングし、か つ薄い熱酸化物を成長させること、前記第1の酸化物層を高密度化すること、前 記窒化物層上に第2の酸化物層を堆積させること、及び微結晶シリコンにより前 記トレンチを満たすことを備えてもよい。 本発明により、必要条件を満足させるトレンチを有した、信頼性のある集積回 路が得られる。 本発明の効果は、ほぼ転位のない比較的に簡単なトレンチを本発明によるコレ クタ・ピンと組み合わせて用いることができることである。 他の効果は、前記トレンチに酸化物を堆積した場合に、酸化物が熱的に成長す れば、発生する張力がより軽減される。従って、より厚い、例えば厚さ約100 〜200nmの層として酸化物を堆積することができ、これはより良好なアイソ レーションを与える。酸化物を高密度化すれば、アイソレーション特性は、更に 改善される。 本発明の更に他の効果は、トレンチにまるみのある底部を有するテーパ付き形 状を与えれば、機械的な張力及び充填時のボイドの発生の危険性は低減される。 この危険性は、前記充填に微結晶シリコンを使用すれば、更に低減される。 図面の簡単な説明 以下、添付図面を参照し、本発明についてより詳細に説明する。すなわち図4 〜8は、本発明を説明するために示したにすぎず、従って発明を限定するもので はない。 図1〜3は、従来技術による集積回路を製造する際のトレンチおよびコレクタ ピンを製造するための方法を示す。 図4〜7は本発明により集積回路を製造する際のトレンチを製造するための方 法を横断面図で示す。 図8は、本発明にがかわる集積回路を製造する際のコレクタピンを製造するた めの方法を横断面図で示す。 好ましい実施例 図4は、いわゆるepiタイプのシリコン構造体100の横断面図を示す。こ のシリコン構造体100はpタイプの高濃度にドープされた基板101(10m Ω*cm)上にpタイプの低濃度にドープされたepi層103(約20Ω*c m)を成長させたことを特徴とする。この成長epi層103は一般に5〜10 μm厚である。 p−/p+タイプのいわゆるepi材料からスタートすることにより、シリコ ンに損傷を与え得るようなチャンネルストップインプラントが不要となる(従来 技術の説明参照)。ホウ素もシリコンの格子構造に適合せず、すなわち格子のマ ッチングが不良である。 例えば熱酸化により、当技術で一般的な方法で構造体に酸化シリコンの保護層 をデポジットする。フォトレジストによって保護されていない領域において酸化 物を除く前に、酸化膜をリソグラフィ技術によってマスクする。イオン打ち込み 方法により、シリコン内にn+タイプのいわゆる埋め込みコレクタ層である底部 拡散層105を導入する。その後、熱処理によりnタイプのドーパントを所望す る深さまで移動させ、その後、全ての酸化物を除き、pタイプのドープされた領 域107が形成されるように構造体全体に低濃度のホウ素を打ち込む。このプロ セスにより底部拡散層の上方表面105aと他の構造体の表面との間で高低差、 すなわちステップ106が得られる。この層の表面109aもステップ108を 含むように、構造全体にわたって約1μm厚のエピタキシャル層109を成長さ せる。 次に、周知の、いわゆるツインウェル方法によってエピタキシャル表面層10 9を選択的にドープし、nタイプおよびpタイプの領域、いわゆるnウェル層1 11およびpウェル層113をそれぞれ得る(図5参照)。この方法は米国特許 第4,958,213号またはL.P.パリロ外著「ツインタブCMOS−VLSI回路の ための技術」、IEDMテクノロジーダイジェスト、1980年、752ページ に記載されている方法と同様な方法で実行できる。このように表面109aにお けるステップ108を強化する。 底部拡散層105の頂部上に直接位置するn領域111にアクティーブ半導体 部品を載せせる。次に周知のLOCOS技術により構造体上にフィールド酸化膜 領域120を構成し、その後、構造体上にクーイ(Kooi)酸化膜121を熱 成長する。このクーイ酸化膜121は一般に30〜40nm厚である。図5には トレンチモジュールをスタートする前であって、上記処理の後に得られる構造体 118が示されている。ここでnウェル111とpウェル113との間の接合部 の上にフィールド酸化膜の表面120a内にステップ115があることに留意さ れたい。 クーイ酸化の後に構造体上に好ましくはLPCVD技術により一般に約50 nm厚の、ポリシリコンの薄膜122をデポジットすることにより、トレンチモ ジュールをスタートする(図6参照)。前記ポリシリコン層122の頂部にハー ドマスクをデポジットする。このハードマスクは約300nm厚の酸化膜128 から成ることが好ましく、この酸化膜はPECVD−TEOS膜であることが好 ましい。 次に、リソグラフィ方法によりトレンチ開日部125を構成し、その後、下方 のシリコン表面を露出するようにドライエッチングにより酸化膜124、ポリシ リコン層122およびフィールド酸化膜120をエッチバックする。この工程は 、マルチチャンバータイプのプラズマエッチングシステム内で適当に順次に行う ことができる。酸化膜のエッチングには従来のCHF3/CF4/Arの化学作用 を利用し、ポリシリコンのエッチングにはCl2/HBrの化学作用を利用する 。露出した開口部125ではトレンチ126が形成される。 次にフォトレジストを除く。この結果、トレンチ126が所望する深さ、好ま しくは約5〜10μmとなるまで異方性ドライエッチングにより、好ましくはN F3/HBr/He/O2の化学作用により領域111、113、底部拡散層10 5、層103および基板101をエッチバックする。トレンチをテーパ形状、と くに最終マイクロメータとし、丸い底部126aとなるように、本発明の利点が 得られるように、種々の工程のドライエッチングプロセスを実行する(図6参照 )。このようなテーパ形状によりトレンチをその後充填することが容易となり、 丸くされたトレンチ底部126aによって機械的張力の効果が低下する。 トレンチのエッチングが終了すると、酸化膜124を除去する際にエッチスト ップとして働いていた下方のポリシリコン層122を除く。図6にこの結果得ら れる構造体127が示されている。 トレンチ126はp+基板101を下方に延びるので、本ケースではトレンチ 126内のホウ素のチャンネルストッパーインプラントは不要となることに留意 されたい。従って、トレンチの底部126aに沿って反転が生じる恐れはなくな る。上記ホウ素の打ち込みはシリコンの転移を生じさせることがあるので、これ は回避すべきである。 トレンチエッチングの後に、本発明によれば、好ましくはSC−1内で、すな わち周知の態様で約80℃まで加熱されたNH4OH/H22/H2O内でウェッ トエッチング/クリーニングにより底部126aおよびトレンチの側壁126b から少量のシリコン(約20nm)を除去する。この方法については、例えばW .カーン外著「半導体技術で使用するための過酸化水素をベースとするクリーニ ング溶液」、RCAレビュー、1970年6月、187ページを参照されたい。 このようにトレンチエッチングで生じたシリコン内の汚染物、例えば金属および 表面損傷が除かれる。これらはシリコン内で転移を生じさせることがあり、よっ て歩留まりを低下させることがある。次にウェットエッチングによりクーイ酸化 膜121を除く。 先に参照した特許のいくつかから、トレンチ壁126bを酸化すると、例えば 機械的張力が生じて転移が生じることがある。このような恐れを解消するため、 本発明によれば、構造体全面にわたってLPCVD技術によって均一な酸化薄膜 129を、好ましくは50〜200nmの厚みのTEOS層をデポジットする。 LPCVD−TEOS層129は良好なステップカバー率を有するので、トレン チの側壁126aおよび底部126aに沿った層129が得られる。これとは異 なり、別のデポジット技術、例えばPECVDまたはCACVD(準大気圧化学 的気相法)技術を使って別のタイプの酸化膜をデポジットしてもよい。重要なこ とはトレンチ126内に均一にデポジットされた酸化膜を設けることである。 次に酸素環境内で、特に約900℃で、前記酸化膜129の密度を高めなけれ ばならない。これによって酸化膜のアイソレーション特性が改善される。更にこ の酸化膜は従来技術の説明で述べたように、約45nmの最大酸化膜の厚みに限 定されているわけではない。その代わりに機械的張力を過度に増すことなく、1 00〜200nmの大きさの、実質的により厚い厚みにTEOS層をデポジット できる。このようにトレンチ126のアイソレーション特性はかなり改善される 。これとは異なり、LPCVD−TEOS層をデポジットする(図示せず)前に 、トレンチの側壁126bおよび底部126aに沿って、より薄く、50nmよ り厚くない、好ましくは10nmの厚みの熱酸化膜を成長してもよい。 TEOS層129の密度を高めた後に、構造体全体に、かつトレンチ126内 に下方に、好ましくは窒化シリコンがらなる薄い、約50nm厚のバリア層13 0をデポジットする。バリア層130の頂部にLPCVD技術によって、好まし くは薄い、約20nm厚のTEOS層132をデポジットする。このTEOS層 132は後でトレンチの充填物をエッチバックする際のエッチストップとして働 く。 従って、トレンチ126には高密度化されたTEOS層/窒化シリコン層、T EOS層129、130、132から成るラミネートが生じるか、または熱酸化 膜、高密度化されたTEOS層/窒化シリコン層/TEOS層129、130、 132の4層ラミネートが生じる。 次に、トレンチ126がシリコンによって完全に満たされるよう、LPCVD 技術を使って構造体全面に約1μmの厚みのシリコン層134、135をデポジ ットすることによりトレンチ126を充填する。充填が不完全となる恐れ、すな わち空隙が生じる恐れが少なくなるので、本発明によれば、ポリシリコンの代わ りに単結晶シリコンを使用することが好ましい。 充填後、プラズマエッチングにより表面から過剰なマイクロシリコン135を 除く。トレンチ開口部125の外部にTEOS層132が露出した時にエッチン グを停止する。図7に、こうして得られた構造体132が示されている。シリコ ン充填材の上方表面134aはステップを含むので、少なくとも一部が傾斜して いる。 その後、トレンチ開口部125の上に約300nm厚のキャップ酸化膜136 を熱成長させる。この熱成長は約950℃の湿った雰囲気内で行うことが好まし い。キャップ酸化を終了した後に、例えばドライエッチングによりTEOS層1 32および下方の窒化膜130を除く。このケースではTEOS層の表面はエッ チストップとして働く。 次にフォトレジスト137を使って構造体をマスクすることができ、必要な場 合にはフィールド酸化膜120によって囲むべきコレクタ開口部138の精細度 を得るようにエッチングしてもよい。このエッチングは上方シリコン表面109 上で停止してもよいが、コレクタ開口部138内にTEOS酸化膜129が残る こともある。 次にフィールド酸化膜120の深さよりも低い深さまで上方シリコン表面10 9aから下方にイオン打ち込み方法で損傷を受けた、すなわちアモルファスの少 なくとも部分的にドープされた領域139が得られる。このことは上方シリコン 表面109aを通して打ち込まれる所定の打ち込み量およびエネルギーのイオン によって生じる。次にフォトレジスト137を除去した後に、図8に示されてい る、こうして得られた構造体144を熱処理し、一部領域139を回復/再結晶 化し、一部のドーパントを底部拡散層105に向けて下方に拡散し、この底部拡 散層と電気的に接触させる。 通常、フィールド酸化膜120は少なくとも50nmの厚みに成長される。従 って、所望する安全の余裕を得るために、約200nmの最大深さまでの損傷領 域139を得る。 熱処理で転移または欠陥が生じる問題を解消するため、例えば再結晶化を防止 するため、イオン打ち込みは2工程で実行することが好ましい。 特に重イオン、例えばヒ素、アンチモニまたはアルゴンのイオンを打ち込むこ とにより、シリコン表面109を含む領域の表面区域および領域139の上方区 域をアモルファスとする。好ましくはヒ素イオンは1×1015イオン/cm2の 大きさの照射量で80keVのエネルギーでヒ素イオンを使用することが好まし い。 第2工程では軽いイオン、例えばリンのイオンを打ち込むことにより、表面領 域から下方の領域139をドープする。適当なパラメータとしては、3×1015 イオン/cm2の大きさの照射量、および50keVの大きさのエネルギーが挙 げられる。既にアモルファスとなっている表面領域内にイオン打ち込みによる損 傷の主要部分が留まるように、これらパラメータは適合されている。 また、熱処理の2つの工程、すなわち再結晶化工程および拡散工程で実行する ことが好ましい。 好ましくは約1/2〜1時間の間で、約550〜600℃にて、熱処理により 底部から上の領域139を再結晶化する。この再結晶化では元の結晶配列を維持 しながら、底部から上の損傷を受けた領域を回復させる。従って、結晶欠陥、ツ インまたはねじれ転移の発生が防止される。後者のねじれ転移はこれらがトレン チによって囲まれた領域内にロックアップされる傾向があるので、トレンチのア イソレーション部で特にトラブルを生じやすい。これら欠陥はバイポーラトラン ジスタのアクティーブなP−N接合部に進入し、リーク電流を増すことがある。 イオン打ち込みエネルギーを過度に高く選択した場合に、表面層はアモルファ スとならず、むしろ表面層と結晶の内側部分との間でアモルファス(損傷を受け た)領域が得られる。その後の熱処理でこれら領域(表面層および結晶の内側部 分)はいずれもグリッドを成長させるための基板として働く。前方部が合流する 場合、結晶欠陥が生じる。例えば米国特許第4,958,213号から後者のケースが生 じ、その結果、転移の問題が生じるよう、イオン打ち込みエネルギーおよびリン の照射量の双方が選択されていることが明らかである。 最後に、好ましくは約1時間の間、約950℃にてアニーリングを行うことに より、ドープされた底部拡散層、すなわち埋め込まれたコレクタ層105に向け て下方にドープされたイオン、特にリンイオンを拡散させる。これによって前記 底部拡散層105への電気的な接続部が形成される。 連続する処理、すなわちアクティーブ部品を製造する方法、金属化などは、当 業者に周知の方法で行われるので、本明細書ではこれ以上詳細には説明しない。 本発明により、上記好ましい方法によって製造される集積回路は信頼性があり 、性能上の特性が良好である。 転移を生じることなくコレクタピンを得ることにより、所定のケースのこれま で無視されて来た問題、すなわち歩留まりが低いことが解決された。このコレク タピンは周知の技術により実質的に転移を生じることなくトレンチと組み合わせ ることができるが、本発明と共にトレンチと組み合わせて使用することが好まし い。 ここに開示したトレンチは、これを充填する前にトレンチ内に酸化膜、好まし くはLPCVD−TEOS酸化膜および特に窒化シリコンから成るバリア層をデ ポジットすることにより、以前よりもより効果的に製造できる。 これにより、集積回路に損傷を与え得る、ある程度の張力および/または欠陥 、例えば転移を生じることなく、少なくとも200nmまでの酸化膜の厚みが可 能となる。バリア層には更に酸化膜をデポジットしてもよい。 本発明にかかわるコレクタピンおよび/または本発明のトレンチを備えた集積 回路は、無線周波数およびその他の高周波で使用するのに特に適している。 当然ながら本発明は、これまで説明し、図面に示した実施例のみに限定される ものでなく、添付した請求の範囲内で変更が可能である。本発明は材料、大きさ 、例えば膜厚または幾何学的形状について限定されていないことは明らかである 。 更に本発明では、特にフィールド酸化膜領域を成長させ、トレンチを形成する だけでなく、従来の方法で製造されたトレンチと組み合わせて、本発明のコレク タを形成する前に、コレクタピンを形成する前にプロセスの初期にトレンチを形 成することも可能である。
【手続補正書】 【提出日】平成11年12月28日(1999.12.28) 【補正内容】 請求の範囲 1. 集積回路内の半導体部品をアイソレートするための無転移トレンチによっ て囲まれた、半導体部品内の埋め込みコレクタに接続された無転移コレクタピン を備えた集積回路、特に無線用またはその他高速通信用集積回路を製造するため の方法であって、 −半導体構造体(118)内の上方シリコン表面(109a)を通過するイオ ンの打ち込みにより、半導体構造体(118)内に埋め込みコレクタ層として作 動する底部拡散層(105)を形成する工程と、 −シリコン構造体(118)の上に第1シリコン層(122)をデポジットす る工程と、 −前記第1シリコン層(122)上にハードマスク(124)、特にPECV D−TEOSタイプの酸化膜をデボジットする工程と、 −上方シリコン表面(109a)の所定領域をエッチングすることによってカ バーを除き、トレンチ開口部(125)を形成する工程と、 −こうして所定領域内で得られる半導体構造体を所定深さまでエッチングする ことにより、トレンチ(126)を形成する工程と、 −エッチングによりハードマスク(124)および第1シリコン層(122) を除去する工程と、 −半導体構造体(127)上に、特にトレンチ(126)内で、好ましくはL PCVD−DEOSタイプの第1酸化膜(129)を均一にデポジットする工程 と、 −第1酸化膜(129)の上に、好ましくは窒化シリコンのバリア層(130 )を均一にデポジットする工程と、 −バリア層の上にシリコン層(134、135)をデポジットすることにより 、トレンチ(126)を充填し、シリコン層(134、135)をエッチバック する工程と、 −トレンチ開口部(34)の上にキャップ酸化膜(136)を熱成長させる工 程と、 −半導体構造体(144)内に含まれるフィールド酸化膜(120)または酸 化膜表面(129a)によって囲まれた上方シリコン表面(109a)の所定領 域をエッチングすることにより、コレクタ開口部(138)を形成する工程と、 −前記上方シリコン表面(109a)を通して重イオン、例えばヒ素またはア ンチモニーイオンのイオン打ち込みによって上方シリコン表面(109a)から フィールド酸化膜の深さよりも浅い深さまで下方に、コレクタ開口部(138) 内にイオンで損傷を受けた、すなわちアモルファス状の少なくとも部分的にドー プされた領域(139)を形成する工程と、 −軽イオン、例えばリンイオンの打ち込みにより、表面領域から下方に前記イ オン打ち込みによって損傷を受けた、すなわちアモルファス状の領域(139) をドーピングする工程と、 −熱処理により底部から上に前記イオン打ち込みによって損傷を受けた、すな わちアモルファス領域(139)を再結晶化する工程と、 −アニールにより前記イオン打ち込みにより損傷を受けた、すなわちアモルフ ァス状領域(139)内の軽イオンを底部拡散層(105)へ向かって下方に拡 散させる工程とを特徴とする、集積回路を製造するための方法。 2. 上方シリコン表面(109a)を酸化膜(121、120)によってカバ ーし、ハードマスク(124)のデポジット前に酸化膜(121、120)の上 に、好ましくはポリシリコンからなる第1シリコン層(122)をデポジットす ることを特徴とする、請求項1記載の方法。 3. 酸化膜カバー(121、120)の少なくとも一部がフィールド酸化膜( 120)を含むように選択されたことを特徴とする、請求項1または2記載の方 法。 4. 第1酸化膜(129)のデポジット前に湿式エッチングによりトレンチ( 126)をクリーンにすることを特徴とする、請求項1〜3の−いずれかに記載 の方法。 5. 加熱されたSC−1により湿式エッチングを行うことを特徴とする、請求 項4記載の方法。 6. 第1酸化膜(129)のデポジット前にトレンチ内で熱酸化薄膜を成長さ せることを特徴とする、請求項1〜5のいずれか記載の方法。 7. トレンチ(126)をテーパ付き形状とし、このマイクは約5〜8μmの 深さとすることを特徴とする、請求項1〜6のいずれかに記載の方法。 8. トレンチの底部(126a)に丸みを付けたことを特徴とする、請求項1 〜7のいずれかに記載の方法。 9. 好ましくは酸素雰囲気内で約900℃で第1酸化膜(129)を高密度化 したことを特徴とする、請求項1〜8のいずれかに記載の方法。 10.約50〜200nmの厚さに第1酸化膜(129)をデポジットすること を特徴とする、請求項1〜9のいずれかに記載の方法。 11.トレンチ(126)の充填前にバリア層(130)の上に、特にトレンチ (126)内にて、好ましくはLPCVD−TEOSの第2酸化膜(132)を 均一にデポジットすることを特徴とする、請求項1〜10のいずれかに記載の方 法。 12.シリコン層(134、135)が微結晶シリコンから構成されていること を特徴とする、請求項1〜11のいずれかに記載の方法。 13.個々に選択された深さまでキャップ酸化膜(136)を成長したことを特 徴とする、請求項1〜12のいずれかに記載の方法。 14.酸化膜でカバーする前に、シリコン表面(109a)内でステップ(10 8)を形成するようドープされた底部拡散領域(105)を形成することを特徴 とする、請求項3〜13のいずれかに記載の方法。 15.ツインウェル方法において、半導体構造体(110)に含まれるエピタキ シャル層(109)をドーピングすることにより、n型ウェル(111)および p型ウェル(113)を得て、更に強化したステップ(108)がn型ウェル( 111)とp型ウェル(113)との間に位置するように選択したことを特徴と する、請求項14記載の方法。 16.フィールド酸化膜の層の表面(120a)内にステップ(115)が得ら れるよう、第1シリコン層(122)のデポジット前にn型ウェル(111)と p型ウェル(113)との間の境界領域上に、特にLOCOS技術に従ってフィ ールド酸化膜(120)を得ることを特徴とする、請求項15記載の方法。 17.トレンチ開口部(125)を形成するのにカバーされていない、半導体構 造体の上方シリコン表面(109a)の所定領域がn型ウェル(111)とp型 ウェル(113)との間で得られるステップ(108)を含むように選択された ことを特徴とする、請求項16記載の方法。 18.酸化膜のカバーの少なくとも一部がKooi(クーイ)酸化膜(121) を含むように選択されており、前記Kooi酸化膜(121)を好ましくは第1 酸化膜(129)のデポジット前に除去することを特徴とする、請求項16記載 の方法。 19.ヒ素またはアンチモニーイオンを打ち込むことにより、コレクタ開口部内 の領域のうちの表面領域をアモルファス状とすることを特徴とする、請求項1記 載の方法。 20.1×1015イオン/cm2の大きさの照射量および80keVの大きさの エネルギーを有するヒ素イオンを打ち込むことを特徴とする、請求項19記載の 方法。 21.リンイオンを打ち込むことにより、領域(139)の表面領域から下方に ドープすることを特徴とする、請求項19または20記載の方法。 22.1×1015イオン/cm2の大きさの照射量および80keVの大きさの エネルギーを有するリンイオンを打ち込むことを特徴とする、請求項21記載の 方法。 23.集積回路内の半導体部品をアイソレートするための無転移トレンチによっ て囲まれた、半導体部品内の埋め込みコレクタに接続された無転移コレクタピン を備えた集積回路、特に無線用またはその他高速通信用集積回路であって、 −半導体構造体(118)内で埋め込みコレクタ層として働く底部拡散層(1 05)と、 −半導体構造体(118)内の上方シリコン表面(109a)のうちの所定領 域内でエッチングされた所定形状および深さのエッチングされたトレンチ(12 6)と、 −トレンチ(126)内で均一にデポジットされた、好ましくはLPCVD− TEOSタイプの第1酸化膜(129)と、 −前記第1酸化膜(129)の上に均一にデポジットされた、好ましくは窒化 シリコンから成るバリア層(130)と、 −トレンチ(126)内で前記バリア層(130)上にデポジットされたシリ コン充填層(134)と、前記シリコン充填層(130)の上に熱成長されたキ ャップ酸化膜(136)と、 −フィールド酸化膜(120)によって囲まれた上方シリコン表面(109a )の所定領域をエッチングによりカバーを除くことにより形成されたコレクタ開 口部(138)と、 −フィールド酸化膜(120)の深さよりも浅い深さまで上方シリコン表面( 109a)から重イオンを打ち込み、次に軽イオンを打ち込み、処理により底部 から上を再結晶化し、前記底部拡散領域(105)まで下方に径イオンを拡散す るようにアニールすることによって形成された、半導体構造体に含まれる、上方 シリコン表面(109a)から底部拡散領域(105)まで下方に延びる、コレ クタ開口部内の無転移の少なくとも一部がドープされた領域(139)とを特徴 とする集積回路。 24.トレンチ(126)がテーパ付きの幅および丸みを付けられた底部(12 6a)を有することを特徴とする、請求項23記載の集積回路。 25.トレンチ(126)が約5〜8μmの深さを有することを特徴とする、請 求項23または24記載の集積回路。 26.第1酸化膜(129)が高密度化され、約50〜200mmの厚みとなっ ていることを特徴とする、請求項23〜25のいずれかに記載の集積回路。 27.トレンチ(126)内のバリア層(130)上に均一にデボジットされた 、好ましくはLPCVD−TEOSタイプの第2酸化膜8132)を特徴とする 、請求項23〜26のいずれかに記載の集積回路。 28.シリコン充填層(134)が微結晶シリコンから構成されていることを特 徴とする、請求項23〜27のいずれかに記載の集積回路。 29.トレンチの上方部分を囲むフィールド酸化膜の領域(120)を特徴とす る、請求項23〜28のいずれかに記載の集積回路。 30.シリコン充填層(134a)の上方表面がステップを含むことを特徴とする 、請求項23〜29のいずれかに記載の集積回路。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,ML,MR, NE,SN,TD,TG),AP(GH,GM,KE,L S,MW,SD,SZ,UG,ZW),EA(AM,AZ ,BY,KG,KZ,MD,RU,TJ,TM),AL ,AM,AT,AU,AZ,BA,BB,BG,BR, BY,CA,CH,CN,CU,CZ,DE,DK,E E,ES,FI,GB,GE,GH,GM,GW,HU ,ID,IL,IS,JP,KE,KG,KP,KR, KZ,LC,LK,LR,LS,LT,LU,LV,M D,MG,MK,MN,MW,MX,NO,NZ,PL ,PT,RO,RU,SD,SE,SG,SI,SK, SL,TJ,TM,TR,TT,UA,UG,UZ,V N,YU,ZW (72)発明者 リンドグレン、ボ、アンデルス スウェーデン国 ソレンツナ、セルシング ス ベーグ 79 (72)発明者 ラルソン、トルブヨルン スウェーデン国 ウプサラ、バデルクバル ンスガタン 54 エイ 【要約の続き】 し、このトレンチ充填層(134)上にキャップ酸化膜 (136)を熱成長させることによって、トレンチ(1 26)を形成する。

Claims (1)

  1. 【特許請求の範囲】 1. 集積回路、特に実質的に無転移の(dislocation−free )分離トレンチを備えた無線用あるいは高速通信用の集積回路を製造するために バイポーラプロセスでコレクタピンを製作する方法であって、 半導体構造(144)に含まれる上部シリコン表面(109a)または酸化表 面(129a)上でフィールド酸化膜(120)に覆われた所定領域をエッチン グによって剥離することによってコレクタ開口(138)を設けるステップと、 上部シリコン表面(109a)を通して所定のドーズおよびエネルギのイオン を注入することによって上部シリコン表面からフィールド酸化膜より浅い位置ま での間で少なくとも部分的にドーピング(139)され、イオン注入によって粗 化またはアモルファス化された領域を形成するステップと、 上記に続いて半導体構造(144)に対して熱処理を施すステップとを含むこ とを特徴とする前記方法。 2. 集積回路、特に無線用あるいは高速通信用の集積回路を製造するために 集積回路に含まれる半導体要素間を分離する方法であって、 上部シリコン表面(109a)を含む半導体構造(118)の上に硬質マスク (124)、特にPECVD−TEOS等の酸化層を蒸着するステップと、 上部シリコン表面(109a)の所定領域をエッチングで剥離することによっ てトレンチ開口(125)を形成するステップと、 前記所定領域に形成された半導体構造を所定の深さまでエッチングすることに よってトレンチ(126)を形成するステップと、 硬質マスク(124)および第1シリコン層(122)をエッチングによって 除去するステップと、 半導体構造(127)の上から、好ましくはトレンチ(126)内に第1の酸 化物層(129)、好ましくはLPCVD−TEOSを均一に蒸着するステップ と、 第1の酸化物層(129)の上にバリヤ層(130)、好ましくは窒化シリコ ンのバリヤ層を均一に蒸着するステップと、 バリヤ層の上、特にトレンチ(125)内のバリヤ層(130)上にシリコン 層(134、135)を蒸着し、シリコン層(134、135)をエッチングバ ックすることによってトレンチ(125)を埋めるステップと、 トレンチ開口(134)上でキャップ酸化膜(136)を熱成長させるステッ プとを含むことを特徴とする前記方法。
JP55029198A 1997-05-23 1998-05-18 集積回路とその素子と製造方法 Pending JP2001525998A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
SE9701934A SE512813C2 (sv) 1997-05-23 1997-05-23 Förfarande för framställning av en integrerad krets innefattande en dislokationsfri kollektorplugg förbunden med en begravd kollektor i en halvledarkomponent, som är omgiven av en dislokationsfri trench samt integrerad krets framställd enligt förfarandet
SE9701934-3 1997-05-23
PCT/SE1998/000929 WO1998053489A2 (en) 1997-05-23 1998-05-18 Integrated circuit, components thereof and manufacturing method

Publications (1)

Publication Number Publication Date
JP2001525998A true JP2001525998A (ja) 2001-12-11

Family

ID=20407058

Family Applications (1)

Application Number Title Priority Date Filing Date
JP55029198A Pending JP2001525998A (ja) 1997-05-23 1998-05-18 集積回路とその素子と製造方法

Country Status (10)

Country Link
US (3) US6251739B1 (ja)
EP (1) EP0985225A2 (ja)
JP (1) JP2001525998A (ja)
KR (1) KR100537419B1 (ja)
CN (1) CN1265225A (ja)
AU (1) AU7680498A (ja)
CA (1) CA2291114A1 (ja)
SE (1) SE512813C2 (ja)
TW (1) TW344885B (ja)
WO (1) WO1998053489A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010103242A (ja) * 2008-10-22 2010-05-06 Rohm Co Ltd 半導体装置の製造方法および半導体装置
KR101257532B1 (ko) * 2005-08-18 2013-04-23 램 리써치 코포레이션 감소된 라인 에지 거칠기를 갖는 피처 에칭

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE512813C2 (sv) * 1997-05-23 2000-05-15 Ericsson Telefon Ab L M Förfarande för framställning av en integrerad krets innefattande en dislokationsfri kollektorplugg förbunden med en begravd kollektor i en halvledarkomponent, som är omgiven av en dislokationsfri trench samt integrerad krets framställd enligt förfarandet
CA2295990A1 (en) 1997-07-11 1999-01-21 Telefonaktiebolaget Lm Ericsson A process for manufacturing ic-components to be used at radio frequencies
SE522891C2 (sv) 2001-11-09 2004-03-16 Ericsson Telefon Ab L M En kisel-germanium mesa transistor, en metod för dess framställning och en integrerad krets innefattande en sådan transistor
SE520590C2 (sv) 2001-11-15 2003-07-29 Ericsson Telefon Ab L M Halvledarprocess och PMOS-varaktor
WO2003044863A1 (en) * 2001-11-20 2003-05-30 The Regents Of The University Of California Methods of fabricating highly conductive regions in semiconductor substrates for radio frequency applications
JP2003158178A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100431183B1 (ko) * 2001-12-20 2004-05-12 삼성전기주식회사 바이폴라 트랜지스터와 그 제조방법
KR100766675B1 (ko) * 2001-12-28 2007-10-15 매그나칩 반도체 유한회사 암신호 감소를 위한 이미지센서 제조 방법
KR100456691B1 (ko) * 2002-03-05 2004-11-10 삼성전자주식회사 이중격리구조를 갖는 반도체 소자 및 그 제조방법
US7052541B2 (en) * 2002-06-19 2006-05-30 Board Of Regents, The University Of Texas System Color compositions
US20040018742A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Forming bilayer resist patterns
US7015115B1 (en) * 2003-02-20 2006-03-21 Newport Fab, Llc Method for forming deep trench isolation and related structure
US6770541B1 (en) * 2003-02-20 2004-08-03 Newport Fab, Llc Method for hard mask removal for deep trench isolation and related structure
CN100433340C (zh) * 2003-12-31 2008-11-12 天津大学 与深亚微米射频工艺兼容的硅光电探测器
US7304354B2 (en) * 2004-02-17 2007-12-04 Silicon Space Technology Corp. Buried guard ring and radiation hardened isolation structures and fabrication methods
US7492027B2 (en) 2004-02-20 2009-02-17 Micron Technology, Inc. Reduced crosstalk sensor and method of formation
US7154136B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Isolation structures for preventing photons and carriers from reaching active areas and methods of formation
TW200625437A (en) * 2004-12-30 2006-07-16 Macronix Int Co Ltd Shallow trench isolation process of forming smooth edge angle by cleaning procedure
KR100720474B1 (ko) * 2005-06-17 2007-05-22 동부일렉트로닉스 주식회사 씨모스 이미지 센서 및 그 제조방법
TWI397972B (zh) * 2005-08-26 2013-06-01 Hitachi Ltd Semiconductor device manufacturing method
TWI310501B (en) * 2005-10-06 2009-06-01 Via Tech Inc Bus controller and data buffer allocation method
KR100695487B1 (ko) 2006-03-20 2007-03-16 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7691734B2 (en) * 2007-03-01 2010-04-06 International Business Machines Corporation Deep trench based far subcollector reachthrough
US8331446B2 (en) * 2008-08-31 2012-12-11 Netlogic Microsystems, Inc. Method and device for reordering video information
KR101585615B1 (ko) * 2009-02-26 2016-01-14 삼성전자주식회사 반도체 장치
CN101872739B (zh) * 2009-04-23 2013-10-23 上海华虹Nec电子有限公司 沟槽的填充方法
CN102468164B (zh) * 2010-10-29 2014-10-08 中国科学院微电子研究所 晶体管及其制造方法
CN103187355B (zh) * 2013-01-29 2015-10-28 中航(重庆)微电子有限公司 具有隔离结构的半导体衬底及其制备方法
CN104163398B (zh) * 2013-05-17 2017-02-08 无锡华润上华半导体有限公司 半导体器件中深槽的填充结构及其填充方法
JP6136571B2 (ja) * 2013-05-24 2017-05-31 富士通株式会社 半導体装置及び半導体装置の製造方法
JP6220188B2 (ja) * 2013-08-15 2017-10-25 ルネサスエレクトロニクス株式会社 半導体装置
CN104913805A (zh) * 2014-03-11 2015-09-16 上海华虹宏力半导体制造有限公司 一种提高离子注入机日常检点稳定性的方法
FR3019378A1 (fr) * 2014-03-25 2015-10-02 St Microelectronics Crolles 2 Structure d'isolement entre des photodiodes
CN104952784B (zh) * 2014-03-31 2019-01-08 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构、其制作方法及半导体器件和图像传感器
JP2016152377A (ja) * 2015-02-19 2016-08-22 株式会社リコー 半導体デバイス及びその製造方法並びに撮像装置
US9754993B2 (en) * 2015-08-31 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Deep trench isolations and methods of forming the same
US10038058B2 (en) 2016-05-07 2018-07-31 Silicon Space Technology Corporation FinFET device structure and method for forming same
CN106449599A (zh) * 2016-11-30 2017-02-22 南通沃特光电科技有限公司 一种天线装置的制造方法
CN106410407A (zh) * 2016-11-30 2017-02-15 南通沃特光电科技有限公司 一种具有电磁屏蔽的天线装置
TWI713092B (zh) * 2018-10-23 2020-12-11 世界先進積體電路股份有限公司 半導體結構及其製造方法
CN111146198B (zh) * 2018-11-06 2022-06-07 世界先进积体电路股份有限公司 半导体结构及其制造方法
US10770396B2 (en) 2018-12-28 2020-09-08 Vanguard International Semiconductor Corporation Semiconductor structure and method for fabricating the same

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4301588A (en) * 1980-02-01 1981-11-24 International Business Machines Corporation Consumable amorphous or polysilicon emitter process
US4381953A (en) * 1980-03-24 1983-05-03 International Business Machines Corporation Polysilicon-base self-aligned bipolar transistor process
US4472873A (en) * 1981-10-22 1984-09-25 Fairchild Camera And Instrument Corporation Method for forming submicron bipolar transistors without epitaxial growth and the resulting structure
US4433471A (en) * 1982-01-18 1984-02-28 Fairchild Camera & Instrument Corporation Method for the formation of high density memory cells using ion implantation techniques
JPS6083346A (ja) * 1983-10-14 1985-05-11 Hitachi Ltd 半導体集積回路装置
US4680614A (en) * 1984-06-25 1987-07-14 Beyer Klaus D Planar void free isolation structure
US4980747A (en) * 1986-12-22 1990-12-25 Texas Instruments Inc. Deep trench isolation with surface contact to substrate
US4789885A (en) * 1987-02-10 1988-12-06 Texas Instruments Incorporated Self-aligned silicide in a polysilicon self-aligned bipolar transistor
US4734382A (en) * 1987-02-20 1988-03-29 Fairchild Semiconductor Corporation BiCMOS process having narrow bipolar emitter and implanted aluminum isolation
US4816423A (en) * 1987-05-01 1989-03-28 Texas Instruments Incorporated Bicmos process for forming shallow npn emitters and mosfet source/drains
JPS6445165A (en) * 1987-08-13 1989-02-17 Toshiba Corp Semiconductor device and manufacture thereof
US4800171A (en) * 1987-10-02 1989-01-24 Advanced Micro Devices, Inc. Method for making bipolar and CMOS integrated circuit structures
US4863877A (en) * 1987-11-13 1989-09-05 Kopin Corporation Ion implantation and annealing of compound semiconductor layers
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
JPH0797627B2 (ja) * 1987-12-21 1995-10-18 株式会社日立製作所 半導体装置
US4866001A (en) * 1988-07-01 1989-09-12 Bipolar Integrated Technology, Inc. Very large scale bipolar integrated circuit process
KR910008830B1 (ko) * 1988-08-18 1991-10-21 현대전자산업 주식회사 산화물벽과 질화물벽을 이용한 트렌치 측면벽 도핑방법 및 그 반도체 소자
JPH0338044A (ja) * 1989-07-05 1991-02-19 Toshiba Corp 半導体装置の製造方法
US5001073A (en) * 1990-07-16 1991-03-19 Sprague Electric Company Method for making bipolar/CMOS IC with isolated vertical PNP
US5266504A (en) * 1992-03-26 1993-11-30 International Business Machines Corporation Low temperature emitter process for high performance bipolar devices
US5217920A (en) * 1992-06-18 1993-06-08 Motorola, Inc. Method of forming substrate contact trenches and isolation trenches using anodization for isolation
US5270265A (en) * 1992-09-01 1993-12-14 Harris Corporation Stress relief technique of removing oxide from surface of trench-patterned semiconductor-on-insulator structure
US5265504A (en) 1992-12-01 1993-11-30 Hermann Fruhm Cartridge type screwdriver
US5316978A (en) * 1993-03-25 1994-05-31 Northern Telecom Limited Forming resistors for intergrated circuits
KR100329061B1 (ko) * 1994-03-15 2002-11-13 내셔널 세미콘덕터 코포레이션 평면화된트렌치및전계산화물분리방법
JP2654540B2 (ja) * 1994-06-21 1997-09-17 日本電気株式会社 半導体装置の製造方法
JP3180599B2 (ja) * 1995-01-24 2001-06-25 日本電気株式会社 半導体装置およびその製造方法
US5592412A (en) * 1995-10-05 1997-01-07 Siemens Aktiengesellschaft Enhanced deep trench storage node capacitance for DRAM
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
SE512813C2 (sv) * 1997-05-23 2000-05-15 Ericsson Telefon Ab L M Förfarande för framställning av en integrerad krets innefattande en dislokationsfri kollektorplugg förbunden med en begravd kollektor i en halvledarkomponent, som är omgiven av en dislokationsfri trench samt integrerad krets framställd enligt förfarandet
US6306725B1 (en) * 1997-11-19 2001-10-23 Texas Instruments Incorporated In-situ liner for isolation trench side walls and method
US6165843A (en) * 1998-03-20 2000-12-26 Mosel Vitelic, Inc. Covered slit isolation between integrated circuit devices

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101257532B1 (ko) * 2005-08-18 2013-04-23 램 리써치 코포레이션 감소된 라인 에지 거칠기를 갖는 피처 에칭
JP2010103242A (ja) * 2008-10-22 2010-05-06 Rohm Co Ltd 半導体装置の製造方法および半導体装置

Also Published As

Publication number Publication date
SE9701934L (sv) 1998-11-24
CA2291114A1 (en) 1998-11-26
WO1998053489A3 (en) 1999-03-11
WO1998053489A2 (en) 1998-11-26
CN1265225A (zh) 2000-08-30
SE512813C2 (sv) 2000-05-15
TW344885B (en) 1998-11-11
US6251739B1 (en) 2001-06-26
US6406972B2 (en) 2002-06-18
KR100537419B1 (ko) 2005-12-19
EP0985225A2 (en) 2000-03-15
US20010021559A1 (en) 2001-09-13
AU7680498A (en) 1998-12-11
US6504232B2 (en) 2003-01-07
US20020132439A1 (en) 2002-09-19
SE9701934D0 (sv) 1997-05-23
KR20010012906A (ko) 2001-02-26

Similar Documents

Publication Publication Date Title
JP2001525998A (ja) 集積回路とその素子と製造方法
EP0791959B1 (en) Method of connecting a dram trench capacitor
KR100202278B1 (ko) 반도체 메모리 장치내 매설 스트랩의 제어된 재결정화
US5811347A (en) Nitrogenated trench liner for improved shallow trench isolation
JPS63170969A (ja) 非揮発性メモリ
JPH07193155A (ja) バラクタおよび形成方法
US6087241A (en) Method of forming side dielectrically isolated semiconductor devices and MOS semiconductor devices fabricated by this method
US5915191A (en) Method for fabricating a semiconductor device with improved device integration and field-region insulation
US5923971A (en) Reliable low resistance strap for trench storage DRAM cell using selective epitaxy
US5374584A (en) Method for isolating elements in a semiconductor chip
EP0320217B1 (en) An improved twin-well BiCMOS process
US5972777A (en) Method of forming isolation by nitrogen implant to reduce bird's beak
US5104816A (en) Polysilicon self-aligned bipolar device including trench isolation and process of manufacturing same
US6445043B1 (en) Isolated regions in an integrated circuit
EP0809286B1 (en) A process for the fabrication of semiconductor devices having various buried regions
US6806159B2 (en) Method for manufacturing a semiconductor device with sinker contact region
US7259053B2 (en) Methods for forming a device isolation structure in a semiconductor device
US7164186B2 (en) Structure of semiconductor device with sinker contact region
US5956589A (en) Method of forming narrow thermal silicon dioxide side isolation regions in a semiconductor substrate and MOS semiconductor devices fabricated by this method
JPH1093046A (ja) 半導体装置およびその製造方法
JP2763105B2 (ja) 半導体装置の製造方法
JPH04239766A (ja) トレンチキャパシタおよびその製造方法
JP2004071940A (ja) 半導体装置及びその製造方法
WO1995025342A1 (en) A semiconductor device having a self-aligned p-well within a p-buried-layer
JPS6362100B2 (ja)

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20041122