JP2000323468A - 半導体基板上に薄膜を形成する成膜装置における成膜方法 - Google Patents

半導体基板上に薄膜を形成する成膜装置における成膜方法

Info

Publication number
JP2000323468A
JP2000323468A JP11134072A JP13407299A JP2000323468A JP 2000323468 A JP2000323468 A JP 2000323468A JP 11134072 A JP11134072 A JP 11134072A JP 13407299 A JP13407299 A JP 13407299A JP 2000323468 A JP2000323468 A JP 2000323468A
Authority
JP
Japan
Prior art keywords
film
electrode
processed
substrate
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11134072A
Other languages
English (en)
Other versions
JP3072989B1 (ja
Inventor
Hideaki Fukuda
秀明 福田
Hirotaka Arai
宏貴 荒井
Tomo Yoshizaki
友 吉崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NIPPON ASM KK
Original Assignee
NIPPON ASM KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NIPPON ASM KK filed Critical NIPPON ASM KK
Priority to JP11134072A priority Critical patent/JP3072989B1/ja
Priority to US09/570,195 priority patent/US6187691B1/en
Application granted granted Critical
Publication of JP3072989B1 publication Critical patent/JP3072989B1/ja
Publication of JP2000323468A publication Critical patent/JP2000323468A/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】成膜装置において,待機状態後に被処理基板を
搭載する搭載台の温度低下にともなう成膜の特性への悪
影響を防ぐ成膜方法を提供する。 【解決手段】処理室に,高周波電力が間に適用される電
極を有し,ヒーターにより,被処理基板を搭載する電極
を加熱する成膜装置において,被処理基板上に薄膜を形
成する方法であって,待機状態の後で,前記電極に前記
被処理基板を搭載して成膜工程を行う前に,該電極の温
度を上昇させる工程を含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は,被処理基板に薄膜
を形成する成膜方法に関し,とくに複数の被処理基板に
連続して薄膜を形成する際に,各被処理基板に形成され
る薄膜を均質にする成膜方法に関する。
【0002】
【従来の技術および発明が解決しようとする課題】プラ
ズマCVD(Chemical Vapor Deposition)装置は,反応ガ
スを処理室に供給するシャワーヘッドを兼ねる上部電極
と,半導体ウエハなどの被処理基板を搭載する搭載台を
兼ねる下部電極との間に高周波電力を適用し,反応ガス
をプラズマ化させて被処理基板の表面上に薄膜を形成す
る。この成膜処理の際に処理室の内壁等に堆積物が残存
する。これが剥離すると,次の成膜プロセスにおいてパ
ーティクルの発生となるため,周期的に処理室をクリー
ニングする。
【0003】こうした装置において,ロット(1ロット
は,たとえば1カセット(ウエハ25枚))の連続処理時
は,成膜処理とクリーニング処理とが交互に繰り返して
行われるが,装置のメンテナンス後,膜質検査ウエハ処
理後の検査結果がでるまで,ロット処理とロット処理と
の間等で,成膜処理を行わない待機状態が生じる。
【0004】待機の回数は,とくに近年のウエハの大口
径化,半導体デバイスの多様化に伴う多品種少ロットで
半導体デバイスを製造する半導体製造工場が増えるにつ
て,増加する傾向にある。
【0005】このような待機状態が,ある時間続くと,
処理室内の,とくに半導体ウエハが搭載される電極部品
の表面温度が低下し,その上のウエハ温度も低下する。
したがって,他の処理条件を同じにしても,ロットの連
続処理において,待機後の1,2枚目の半導体ウエハ上に
形成された薄膜には,密度の低下,膜組成の変化といっ
た悪影響がでる。そのため,半導体デバイスの製作時に
設計された加工性,耐吸湿性などの膜特性が損なわれ
る。これは半導体デバイスの動作不良,すなわち製品不
良の原因となり,歩留まりの低下につながる。
【0006】待機直後の成膜について及ぼす悪影響は,
近年の被処理基板であるウエハの大口径化に伴うウエハ
自体の熱容量の増大のため,深刻になってきている。
【0007】本発明は,この課題を解消するためになさ
れたものであって,成膜装置において,待機状態の後
に,被処理基板を搭載する搭載台である電極の温度低下
にともなう成膜の特性への悪影響を防ぐ成膜方法を提供
することを目的とする。
【0008】本発明の他の目的は,ロットの連続処理に
際して,均質な膜の形成を行う,上記成膜方法を提供す
ることである。
【0009】
【課題を解決するための手段】上記目的を達成する本発
明の成膜方法は,処理室に,高周波電力が間に適用され
る電極を有し,ヒーターにより被処理基板を搭載する電
極を加熱する成膜装置において,被処理基板上に薄膜を
形成する方法であって,待機状態の後で,電極に被処理
基板を搭載して成膜工程を行う前に,電極の温度を上昇
させる工程を含むことを特徴とする。この成膜工程は,
待機状態の後に被処理基板を一枚ずつ処理室に搬入して
電極に搭載し,成膜する処理を,複数の被処理基板に対
して続けて行う連続成膜工程であってもよい。
【0010】ヒーターは,被処理基板を搭載する電極を
加熱し,所望の温度に上昇させるものであるが,その電
極とヒーターが一体的でない場合(ねじ止め等で分割さ
れている場合)などで,迅速に電極の温度を所望に上昇
させることができない。このとき,処理室にガスを供給
することで,ヒーターの熱が,被処理基板を搭載する電
極に効果的に伝達され,その電極を迅速に,加熱するこ
とができる。
【0011】ガスが供給された処理室の圧力は1Torr以
上が好適である。
【0012】処理室に供給するガスは,遠隔プラズマ放
電装置により活性化されたクリーニングガスであっても
よい。
【0013】また,電極の温度を上昇させる工程は,処
理室内に反応ガスを含むガスを供給し,プラズマ化させ
る工程であってもよい。さらに,電極温度を上昇させる
工程は,このプラズマにより形成された電極上のダミー
膜をダミークリーニングする工程を含んでもよい。この
場合,たとえば,シリコン窒化膜を成膜したとき,NF 3
等のフッ素を含むガスを少なくとも一種以上含むガスを
遠隔プラズマ装置で励起して,処理室に導入し,ダミー
膜をダミークリーニングして除去するが,膜とクリーニ
ングガスとの反応時に生じる熱により電極の表面温度が
効果的に上昇する。さらに,ダミークリーニング工程の
後に,ガスを処理室に供給する工程を含んでもよい。
【0014】さらにまた,電極の温度を上昇させる工程
を,被処理基板を処理室へ搬送するまでの間に実行する
と,処理時間の短縮を図ることができる。
【0015】
【実施の態様】図1は,本発明を実施する装置の一例で
ある平行平板型プラズマCVD装置を示す。この外に,た
とえば,これと同様の構成の減圧熱CVD装置においても
本発明を実施することができる。
【0016】図1のCVD装置は,処理室のクリーニング
のために,遠隔プラズマクリーニングを行う構成となっ
ている。
【0017】この装置を使用して,一ロットの半導体処
理基板(25枚の半導体ウエハ)を成膜処理するために
は,次のように操作が行われる。
【0018】上記した待機状態後,搬送室1内に配置さ
れた一枚の半導体処理基板3が自動搬送ロボット2によ
り,搬送室1に隣接した処理室4内のサセプタ5の上に搭
載される。そのサセプタ5にはヒーターおよび電極(図
示せず)が備えられている。サセプタ5に平行なシャワ
ーヘッド6から,反応ガスが被処理基板に均一に供給さ
れる。サセプタ5とシャワーヘッド6との間には,高周波
発振器7により高周波電力が適用される。
【0019】たとえば,シリコン窒化膜をシリコン基板
3に形成するときは,SiH4と,NH3,N2とのガスを反応ガ
スとしてシャワーヘッド6により,処理室4に供給する。
処理室4は1〜8Torrの範囲となるように,処理室4に連結
されたコンダクタンス調整バルブ8を用いて圧力が制
御,調節される。被処理基板が搭載されるサセプタ5は
ヒーターにより加熱され,搭載されて被処理基板3は300
〜400℃に加熱される。サセプタ5とシャワーヘッド6と
の間には,13.56Mhzの高周波電力,または13.56MHzと43
0MHzの混合電力が適用される。これにより発生したプラ
ズマにより,基板上に薄膜が形成され,そして薄膜形成
後自動搬送ロボット2により処理室4から搬出される。
【0020】成膜後処理室4に付着した,不要な生成物
(この例において,シリコン窒化物)を除去するため
に,NF3ガスをアルゴンと共に遠隔プラズマ放電装置10
に導入され,そこで高周波出力が適用され,解離,活性
化される。活性化したクリーニングガスはバルブ11を介
して,処理室4へ導入され,これにより,処理室内のク
リーニングが行われる。
【0021】このような成膜処理とクリーニング処理と
が,一ロットについて交互に実施される。
【0022】
【実施例】以下,従来の方法と本発明の方法との比較を
具体例をもって説明する。
【0023】図1の装置を用いた従来の方法では,一ロ
ットの処理について上記したように,待機状態後,成膜
処理とクリーニング処理とが交互に行われた(図2(1)
を参照)。この時の処理条件については,成膜処理につ
いては図3(1)に,クリーニング処理については図3
(2)に示す。なお,成膜時間およびクリーニング時間
はシリコン窒化膜580nmの成膜および処理室内クリーニ
ングに必要な時間に設定してある。
【0024】従来の方法により,シリコン窒化膜を一ロ
ット(25枚の被処理基板)について連続処理,すなわ
ち,一定時間の待機状態後に,一枚目の成膜処理を行
い,その次に処理室内のクリーニングを実施し,そして
二枚目以降は成膜処理とクリーニング処理を交互に行っ
たとき,被処理基板上に形成された薄膜の膜質の変化を
図4に示す。図4は膜厚の変化(a),屈折率の変化
(b),および膜応力の変化(c)を示す。
【0025】図4から分かるように,一枚目,二枚目の
膜質(とくに一枚目)が三枚目以降の場合に比べ,膜厚
が厚く,屈折率が低く,そして圧縮応力が小さくなって
いる。このことは,待機状態の後は被処理基板が搭載さ
れるサセプタの表面の温度が,続いて行われる連続した
成膜処理におけるサセプタの温度よりも低下していたこ
とを示している。
【0026】したがって,均一な処理を行うためには,
待機状態の後の最初の一,二枚目,とくに一枚目に対す
る処理を改善することが必要であり,つまり待機状態の
後,温度が低下したサセプタの影響による被処理基板の
温度の低下を防止する必要がある。
【0027】この従来の成膜方法にしたがって処理され
た被処理基板,25枚のプラズマシリコン窒化膜の基板間
の均一性は,以下の式を用いると±2.03%となる。 (基板間の膜厚の均一性)=[[(25枚中の膜厚の最大値)
-(25枚中の膜厚の最小値)]/2÷(25枚の平均膜厚)]×100
(%) この±2.03%は,実際の膜厚差として約23nmに相当する
が,前記したように,この例ではシリコン窒化膜は580n
mの成膜を設定していることから,膜厚の最大値が生じ
る一枚目の膜厚は約600nmとなる。
【0028】この一枚目の処理基板において,シリコン
窒化膜をデバイスの最終保護膜として,デバイス上に成
膜した後に,微細加工(ドライエッチング)により,保
護膜下の配線とのコンタクト部を,シリコン窒化膜の成
膜と同程度,すなわち580〜590nm程度の精度でエッチイ
ング加工を施すと,10〜20nm膜が除去できずに残り,基
板上の全体のデバイスで接触不良を生じさせることにな
る。
【0029】このような接触不良を生じないようにする
ためには,基板間の膜の均一性は,少なくとも±1.5%
以下の値となることが望ましい。
【0030】上記のように,サセプタの表面温度の低下
にともなう被処理基板の温度の低下による一,二枚目の
膜質へ悪影響を防止するために実施した本発明の成膜方
法の各例を以下の表1に示す。 表1 処理 ダミー成膜 ダミーク 処理室 設定温度 膜厚均一性 リーニング 圧力上昇 上昇 (±%) 1 × × × × 2.03 2 ○ × × × 1.30 3 ○ ○ × × 1.05 4 ○ ○ ○ × 0.35 5 × × ○ ○ 1.32 6 × ○ × ○ 0.82 × 実施せず ○ 実施
【0031】表1の1は,サセプタの表面温度の低下に
対して何等対処しない従来の成膜方法を示す。この従来
例では基板間の膜厚の均一性は全前述にように±2.03%
となっている。
【0032】表1の2は,処理室に被処理基板を搬入す
る前に,成膜のための反応ガスを導入し,プラズマを発
生させ,電極に成膜,つまりダミー成膜を行い,被処理
基板が搭載される電極の表面温度を上昇させる場合を示
す。この時の成膜条件は図3(4)に示す。なお,この
場合,異状放電や電極ダメージを防ぐために,通常の成
膜条件(図3(1))の場合に比べ,高周波電力が低
く,電極間隔を広くしている。結果は,基板間の膜厚の
均一性は±1.30%に向上した。
【0033】表1の3は,上記ダミー成膜を行った後
に,これを除去するダミークリーニングを行った場合を
示す。ダミー成膜により形成された電極の表面上のシリ
コン窒化膜を,遠隔プラズマにより励起されたガスを処
理室に導入した。
【0034】フッ素ラジカルにより除去する際に生じる
反応熱でさらに電極の表面温度が上昇し,基板間の膜厚
均一性は±1.05%まで向上した。また,遠隔プラズマに
より励起されたガスを使用するので,電極部品等に対し
プラズマダメージがない。
【0035】表1の4は,上記ダミークリーニングの後
に,図3(3)に示す設定値で窒素ガスを処理室内に導
入した場合である。この場合,ヒーターと電極とが一体
となっていないとき,ヒーターから電極への熱伝導が良
くなり,被処理基板が搭載される電極(サセプタ)の温
度が上昇する。このときの基板間の膜厚均一性は±0.35
%に向上した。なお,ここで,導入するガスは窒素ガス
に限定されず,種々のガスが利用できる。
【0036】この前処理を行った後にロット処理をおこ
なったときの膜厚変化,屈折率変化,および膜応力変化
を図5に示す。この図と,従来方法の場合を示す図4と
を比較すると分かるように,膜厚,屈折率,膜応力のい
ずれについも,待機状態後の一枚目,二枚目について膜
質異状は見らない。
【0037】表1の5および6は,ヒーターの設定温度を
高く設定するするとともに,処理室に窒素ガスおよび上
記したダミークリーニングガスを導入したそれぞれの場
合を示す。導入ガスは,上記同様に,ヒーターブーから
電極への熱伝導を良くし,被処理基板が搭載される電極
(サセプタ)の温度が上昇する。このときの基板間の膜
厚均一性はそれぞれ±1.32%,±0.82%に向上した。
【0038】表1は,サセプタ温度を上昇させる本発明
の例を示すが,ここに挙げた例のほか,各工程の種々の
組み合わせが可能なことは当業者には理解できるであろ
う。さらに,処理の設定値も任意に設定できることが分
かるであろう。
【0039】また,成膜前のこのような前処理を,装置
の運転開始から一枚目の被処理基板が搬入されるまでの
間に行うと,単位時間当たりの処理速度を低下させない
ので好ましい。
【0040】
【効果】本発明により,待機後成膜処理の開始前に,被
処理基板が搭載される電極の表面温度が低下することを
防止でき,その結果所望の成膜を行うことができる。
【0041】本発明は,電極に搭載される被処理基板の
大きさによることなく適応することができることから,
たとえば近年に半導体ウエハの大口径化にともなってウ
エハ自身の熱容量が増大しても,所望の成膜を行うこと
ができる。また,半導体デバイスの多様化に伴う多品種
少ロットで半導体デバイスを製造することにともなって
待機の回数が増加しても本発明は適用することができ
る。
【0042】さらに,ロット処理のように,複数の被処
理基板に対して連続に成膜を行うときに,本発明に従う
ことでそれぞれの被処理基板に対して均質な成膜を行う
ことができる。とくに,各被処理基板には一様な厚さの
薄膜を形成できることから,半導体デバイスの製造にお
いて歩留まりを向上させることができ,また信頼性を向
上させることができる。
【0043】さらにまた,本発明による電極の表面温度
の上昇を,処理室へ最初の被処理体が搬送されるまでの
間に行うことで,生産性の低下を招くことなく,所望の
成膜を行うことができる。
【図面の簡単な説明】
【図1】本発明を実施する平行平板型プラズマCVD装置
の断面図を示す。
【図2】図2(1)は従来の成膜方法の一連の工程を示
し,図2(2)は本発明の成膜方法の一連の工程を示
す。
【図3】図3(1)および(2)は成膜処理およびクリー
ニング処理の処理条件の設定値をそれぞれ示す。図3
(3)は本発明の方法における圧力上昇値の設定値を示
し,図3(4)は本発明の方法におけるダミー成膜処理
の設定値を示す。
【図4】図4(a)は,従来の方法によりロット処理し
たときの,膜厚の変化を示し,図4(b)は,従来の方
法によりロット処理したときの,屈折率の変化を示し,
図4(c)は,従来の方法によりロット処理したとき
の,膜応力の変化を示す。
【図5】図5(a)は,本発明の方法によりロット処理
したときの,膜厚の変化を示し,図5(b)は,本発明
の方法によりロット処理したときの,屈折率の変化を示
し,図5(c)は,本発明の方法によりロット処理した
ときの,膜応力の変化を示す。
【符号の説明】
1 搬送室 2 自動搬送ロボット 3 被処理基板 4 処理室 5 サセプタ 6 シャワーヘッド 7 高周波発振器 8 コンダクタンス調整バルブ 10 遠隔プラズマ放電装置 11 バルブ
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成12年4月13日(2000.4.1
3)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】全文
【補正方法】変更
【補正内容】
【書類名】 明細書
【発明の名称】 半導体基板上に薄膜を形成する成膜装
置における成膜方法
【特許請求の範囲】
【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は,被処理基板に薄膜
を形成する成膜方法に関し,とくに複数の被処理基板に
連続して薄膜を形成する際に,各被処理基板に形成され
る薄膜を均質にする成膜方法に関する。
【0002】
【従来の技術および発明が解決しようとする課題】プラ
ズマCVD(Chemical Vapor Deposition)装置は,反応ガ
スを処理室に供給するシャワーヘッドを兼ねる上部電極
と,半導体ウエハなどの被処理基板を搭載する搭載台を
兼ねる下部電極との間に高周波電力を適用し,反応ガス
をプラズマ化させて被処理基板の表面上に薄膜を形成す
る。この成膜処理の際に処理室の内壁等に堆積物が残存
する。これが剥離すると,次の成膜プロセスにおいてパ
ーティクルの発生となるため,周期的に処理室をクリー
ニングする。
【0003】こうした装置において,ロット(1ロット
は,たとえば1カセット(ウエハ25枚))の連続処理時
は,成膜処理とクリーニング処理とが交互に繰り返して
行われるが,装置のメンテナンス後,膜質検査ウエハ処
理後の検査結果がでるまで,ロット処理とロット処理と
の間等で,成膜処理を行わない待機状態が生じる。
【0004】待機の回数は,とくに近年のウエハの大口
径化,半導体デバイスの多様化に伴う多品種少ロットで
半導体デバイスを製造する半導体製造工場が増えるに
れて,増加する傾向にある。
【0005】このような待機状態が,ある時間続くと,
処理室内の,とくに半導体ウエハが搭載される電極部品
の表面温度が低下し,その上のウエハ温度も低下する。
したがって,他の処理条件を同じにしても,ロットの連
続処理において,待機後の1,2枚目の半導体ウエハ上に
形成された薄膜には,密度の低下,膜組成の変化といっ
た悪影響がでる。そのため,半導体デバイスの製作時に
設計された加工性,耐吸湿性などの膜特性が損なわれ
る。これは半導体デバイスの動作不良,すなわち製品不
良の原因となり,歩留まりの低下につながる。
【0006】待機直後の成膜について及ぼす悪影響は,
近年の被処理基板であるウエハの大口径化に伴うウエハ
自体の熱容量の増大のため,深刻になってきている。
【0007】本発明は,この課題を解消するためになさ
れたものであって,成膜装置において,待機状態の後
に,被処理基板を搭載する搭載台である電極の温度低下
にともなう成膜の特性への悪影響を防ぐ成膜方法を提供
することを目的とする。
【0008】本発明の他の目的は,ロットの連続処理に
際して,均質な膜の形成を行う,上記成形方法を提供す
ることである。
【0009】
【課題を解決するための手段】上記目的を達成する本発
明の成膜方法は,処理室に,高周波電力が間に適用され
る電極を有し,ヒーターにより被処理基板を搭載する電
極を加熱する成膜装置において,被処理基板上に薄膜を
形成する方法であって,待機状態の後で,電極に被処理
基板を搭載して成膜工程を行う前に,電極の温度を上昇
させる工程を含むことを特徴とする。この成膜工程は,
待機状態の後に被処理基板を一枚ずつ処理室に搬入して
電極に搭載し,成膜する処理を,複数の被処理基板に対
して続けて行う連続成膜工程であってもよい。
【0010】ヒーターは,被処理基板を搭載する電極を
加熱し,所望の温度に上昇させるものであるが,その電
極とヒーターが一体的でない場合(ねじ止め等で分割さ
れている場合)などで,迅速に電極の温度を所望に上昇
させることができない。このとき,処理室にガスを供給
することで,ヒーターの熱が,被処理基板を搭載する電
極に効果的に伝達され,その電極を迅速に,加熱するこ
とができる。
【0011】ガスが供給された処理室の圧力は1Torr以
上が好適である。
【0012】処理室に供給するガスは,遠隔プラズマ放
電装置により活性化されたクリーニングガスであっても
よい。
【0013】また,電極の温度を上昇させる工程は,処
理室内に反応ガスを含むガスを供給し,プラズマ化させ
る工程であってもよい。さらに,電極温度を上昇させる
工程は,このプラズマにより形成された電極上のダミー
膜をダミークリーニングする工程を含んでもよい。この
場合,たとえば,シリコン窒化膜を成膜したとき,NF 3
等のフッ素を含むガスを少なくとも一種以上含むガスを
遠隔プラズマ装置で励起して,処理室に導入し,ダミー
膜をダミークリーニングして除去するが,膜とクリーニ
ングガスとの反応時に生じる熱により電極の表面温度が
効果的に上昇する。さらに,ダミークリーニング工程の
後に,ガスを処理室に供給する工程を含んでもよい。
【0014】さらにまた,電極の温度を上昇させる工程
を,被処理基板を処理室へ搬送するまでの間に実行する
と,処理時間の短縮を図ることができる。
【0015】
【実施の態様】図1は,本発明を実施する装置の一例で
ある平行平板型プラズマCVD装置を示す。この外に,た
とえば,これと同様の構成の減圧熱CVD装置においても
本発明を実施することができる。
【0016】図1のCVD装置は,処理室のクリーニング
のために,遠隔プラズマクリーニングを行う構成となっ
ている。
【0017】この装置を使用して,一ロットの半導体処
理基板(25枚の半導体ウエハ)を成膜処理するために
は,次のように操作が行われる。
【0018】上記した待機状態後,搬送室1内に配置さ
れた一枚の半導体処理基板3が自動搬送ロボット2によ
り,搬送室1に隣接した処理室4内のサセプタ5の上に搭
載される。そのサセプタ5にはヒーターおよび電極(図
示せず)が備えられている。サセプタ5に平行なシャワ
ーヘッド6から,反応ガスが被処理基板に均一に供給さ
れる。サセプタ5とシャワーヘッド6との間には,高周波
発振器7により高周波電力が適用される。
【0019】たとえば,シリコン窒化膜をシリコン基板
3に形成するときは,SiH4と,NH3,N2とのガスを反応ガ
スとしてシャワーヘッド6により,処理室4に供給する。
処理室4は1〜8Torrの範囲となるように,処理室4に連結
されたコンダクタンス調整バルブ8を用いて圧力が制
御,調節される。被処理基板が搭載されるサセプタ5は
ヒーターにより加熱され,搭載されて被処理基板3は300
〜400℃に加熱される。サセプタ5とシャワーヘッド6と
の間には,13.56MHzの高周波電力,または13.56MHzと43
0kHzの混合電力が適用される。これにより発生したプラ
ズマにより,基板上に薄膜が形成され,そして薄膜形成
後自動搬送ロボット2により処理室4から搬出される。
【0020】成膜後処理室4に付着した,不要な生成物
(この例において,シリコン窒化物)を除去するため
に,NF3ガスをアルゴンと共に遠隔プラズマ放電装置10
に導入され,そこで高周波出力が適用され,解離,活性
化される。活性化したクリーニングガスはバルブ11を介
して,処理室4へ導入され,これにより,処理室内のク
リーニングが行われる。
【0021】このような成膜処理とクリーニング処理と
が,一ロットについて交互に実施される。
【0022】
【実施例】以下,従来の方法と本発明の方法との比較を
具体例をもって説明する。
【0023】図1の装置を用いた従来の方法では,一ロ
ットの処理について上記したように,待機状態後,成膜
処理とクリーニング処理とが交互に行われた(図2(1)
を参照)。この時の処理条件については,成膜処理につ
いては図3(1)に,クリーニング処理については図3
(2)に示す。なお,成膜時間およびクリーニング時間
はシリコン窒化膜580nmの成膜および処理室内クリーニ
ングに必要な時間に設定してある。
【0024】従来の方法により,シリコン窒化膜を一ロ
ット(25枚の被処理基板)について連続処理,すなわ
ち,一定時間の待機状態後に,一枚目の成膜処理を行
い,その次に処理室内のクリーニングを実施し,そして
二枚目以降は成膜処理とクリーニング処理を交互に行っ
たとき,被処理基板上に形成された薄膜の膜質の変化を
図4に示す。図4は膜厚の変化(a),屈折率の変化
(b),および膜応力の変化(c)を示す。
【0025】図4から分かるように,一枚目,二枚目の
膜質(とくに一枚目)が三枚目以降の場合に比べ,膜厚
が厚く,屈折率が低く,そして圧縮応力が小さくなって
いる。このことは,待機状態の後は被処理基板が搭載さ
れるサセプタの表面の温度が,続いて行われる連続した
成膜処理におけるサセプタの温度よりも低下していたこ
とを示している。
【0026】したがって,均一な処理を行うためには,
待機状態の後の最初の一,二枚目,とくに一枚目に対す
る処理を改善することが必要であり,つまり待機状態の
後,温度が低下したサセプタの影響による被処理基板の
温度の低下を防止する必要がある。
【0027】この従来の成膜方法にしたがって処理され
た被処理基板,25枚のプラズマシリコン窒化膜の基板間
の均一性は,以下の式を用いると±2.03%となる。 (基板間の膜厚の均一性)=[[(25枚中の膜厚の最大値)
-(25枚中の膜厚の最小値)]/2÷(25枚の平均膜厚)]×100
(%) この±2.03%は,実際の膜厚差として約23nmに相当する
が,前記したように,この例ではシリコン窒化膜は580n
mの成膜を設定していることから,膜厚の最大値が生じ
る一枚目の膜厚は約600nmとなる。
【0028】この一枚目の処理基板において,シリコン
窒化膜をデバイスの最終保護膜として,デバイス上に成
膜した後に,微細加工(ドライエッチング)により,保
護膜下の配線とのコンタクト部を,シリコン窒化膜の成
膜と同程度,すなわち580〜590nm程度の精度でエッチイ
ング加工を施すと,10〜20nm膜が除去できずに残り,基
板上の全体のデバイスで接触不良を生じさせることにな
る。
【0029】このような接触不良を生じないようにする
ためには,基板間の膜の均一性は,少なくとも±1.5%
以下の値となることが望ましい。
【0030】上記のように,サセプタの表面温度の低下
にともなう被処理基板の温度の低下による一,二枚目の
膜質へ悪影響を防止するために実施した本発明の成膜方
法の各例を以下の表1に示す。_____________表1_______________ 処理 ダミー成膜 ダミーク 処理室 設定温度 膜厚均一性 リーニング 圧力上昇 上昇 (±%) 1 × × × × 2.03 2 ○ × × × 1.30 3 ○ ○ × × 1.05 4 ○ ○ ○ × 0.35 5 × × ○ ○ 1.32 6 × ○ × ○ 0.82 × 実施せず ○ 実施
【0031】表1の1は,サセプタの表面温度の低下に
対して何等対処しない従来の成膜方法を示す。この従来
例では基板間の膜厚の均一性は前述のように±2.03%と
なっている。
【0032】表1の2は,処理室に被処理基板を搬入す
る前に,成膜のための反応ガスを導入し,プラズマを発
生させ,電極に成膜,つまりダミー成膜を行い,被処理
基板が搭載される電極の表面温度を上昇させる場合を示
す。この時の成膜条件は図3(4)に示す。なお,この
場合,異状放電や電極ダメージを防ぐために,通常の成
膜条件(図3(1))の場合に比べ,高周波電力が低
く,電極間隔を広くしている。結果は,基板間の膜厚の
均一性は±1.30%に向上した。
【0033】表1の3は,上記ダミー成膜を行った後
に,これを除去するダミークリーニングを行った場合を
示す。ダミー成膜により形成された電極の表面上のシリ
コン窒化膜を,遠隔プラズマにより励起されたガスを処
理室に導入した。
【0034】フッ素ラジカルにより除去する際に生じる
反応熱でさらに電極の表面温度が上昇し,基板間の膜厚
均一性は±1.05%まで向上した。また,遠隔プラズマに
より励起されたガスを使用するので,電極部品等に対し
プラズマダメージがない。
【0035】表1の4は,上記ダミークリーニングの後
に,図3(3)に示す設定値で窒素ガスを処理室内に導
入した場合である。この場合,ヒーターと電極とが一体
となっていないとき,ヒーターから電極への熱伝導が良
くなり,被処理基板が搭載される電極(サセプタ)の温
度が上昇する。このときの基板間の膜厚均一性は±0.35
%に向上した。なお,ここで,導入するガスは窒素ガス
に限定されず,種々のガスが利用できる。
【0036】この前処理を行った後にロット処理をおこ
なったときの膜厚変化,屈折率変化,および膜応力変化
を図5に示す。この図と,従来方法の場合を示す図4と
を比較すると分かるように,膜厚,屈折率,膜応力のい
ずれについても,待機状態後の一枚目,二枚目について
膜質異状は見られない
【0037】表1の5および6は,ヒーターの設定温度を
高く設定するとともに,処理室に窒素ガスおよび上記し
たダミークリーニングガスを導入したそれぞれの場合を
示す。導入ガスは,上記同様に,ヒーターから電極への
熱伝導を良くし,被処理基板が搭載される電極(サセプ
タ)の温度が上昇する。このときの基板間の膜厚均一性
はそれぞれ±1.32%,±0.82%に向上した。
【0038】表1は,サセプタ温度を上昇させる本発明
の例を示すが,ここに挙げた例のほか,各工程の種々の
組み合わせが可能なことは当業者には理解できるであろ
う。さらに,処理の設定値も任意に設定できることが分
かるであろう。
【0039】また,成膜前のこのような前処理を,装置
の運転開始から一枚目の被処理基板が搬入されるまでの
間に行うと,単位時間当たりの処理速度を低下させない
ので好ましい。
【0040】
【効果】本発明により,待機後成膜処理の開始前に,被
処理基板が搭載される電極の表面温度が低下することを
防止でき,その結果所望の成膜を行うことができる。
【0041】本発明は,電極に搭載される被処理基板の
大きさによることなく適応することができることから,
たとえば近年に半導体ウエハの大口径化にともなってウ
エハ自身の熱容量が増大しても,所望の成膜を行うこと
ができる。また,半導体デバイスの多様化に伴う多品種
少ロットで半導体デバイスを製造することにともなって
待機の回数が増加しても本発明は適用することができ
る。
【0042】さらに,ロット処理のように,複数の被処
理基板に対して連続に成膜を行うときに,本発明に従う
ことでそれぞれの被処理基板に対して均質な成膜を行う
ことができる。とくに,各被処理基板には一様な厚さの
薄膜を形成できることから,半導体デバイスの製造にお
いて歩留まりを向上させることができ,また信頼性を向
上させることができる。
【0043】さらにまた,本発明による電極の表面温度
の上昇を,処理室へ最初の被処理体が搬送されるまでの
間に行うことで,生産性の低下を招くことなく,所望の
成膜を行うことができる。
【図面の簡単な説明】
【図1】本発明を実施する平行平板型プラズマCVD装置
の断面図を示す。
【図2】図2(1)は従来の成膜方法の一連の工程を示
し,図2(2)は本発明の成膜方法の一連の工程を示
す。
【図3】図3(1)および(2)は成膜処理およびクリー
ニング処理の処理条件の設定値をそれぞれ示す。図3
(3)は本発明の方法における圧力上昇値の設定値を示
し,図3(4)は本発明の方法におけるダミー成膜処理
の設定値を示す。
【図4】図4(a)は,従来の方法によりロット処理し
たときの,膜厚の変化を示し,図4(b)は,従来の方
法によりロット処理したときの,屈折率の変化を示し,
図4(c)は,従来の方法によりロット処理したとき
の,膜応力の変化を示す。
【図5】図5(a)は,本発明の方法によりロット処理
したときの,膜厚の変化を示し,図5(b)は,本発明
の方法によりロット処理したときの,屈折率の変化を示
し,図5(c)は,本発明の方法によりロット処理した
ときの,膜応力の変化を示す。
【符号の説明】 1 搬送室 2 自動搬送ロボット 3 被処理基板 4 処理室 5 サセプタ 6 シャワーヘッド 7 高周波発振器 8 コンダクタンス調整バルブ 10遠隔プラズマ放電装置 11バルブ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 吉崎 友 東京都多摩市永山6丁目23番1 日本エ ー・エス・エム株式会社内 Fターム(参考) 4K030 AA06 AA13 AA18 BA40 DA02 DA03 DA06 FA03 GA02 GA12 JA09 KA23 KA30 5F045 AA06 AA08 AB33 AC01 AC02 AC12 AC15 AD07 AD08 BB02 BB10 DP03 EB06 EE13 EE17 EH14 EH18 EK28

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】処理室に,高周波電力が間に適用される電
    極を有し,ヒーターにより,被処理基板を搭載する電極
    を加熱する成膜装置において,被処理基板上に薄膜を形
    成する方法であって,待機状態の後で,前記電極に前記
    被処理基板を搭載して成膜工程を行う前に,該電極の温
    度を上昇させる工程を含むことを特徴とする方法。
  2. 【請求項2】請求項1に記載の方法であって,前記電極
    の温度を上昇させる前記工程は,待機状態の後に被処理
    基板を一枚ずつ前記処理室に搬入して電極に搭載し,成
    膜する処理を,複数の被処理基板に対して続けて行う連
    続成膜工程を行う前に,実施される,ところの方法。
  3. 【請求項3】請求項1または2に記載の方法であって,
    前記ヒーターと,前記被処理基板を搭載する電極とが一
    体型でない場合において,前記電極の温度を上昇させる
    前記工程は,前記ヒーターによる加熱とともに,前記処
    理室内にガスを供給して圧力を上昇させる工程である,
    ところの方法。
  4. 【請求項4】請求項3に記載の方法であって,前記処理
    室内に供給したガスの圧力は1Torr以上である,ところ
    の方法。
  5. 【請求項5】請求項3に記載の方法であって,前記処理
    室内に供給するガスは,遠隔プラズマ放電装置により活
    性化されたクリーニングガスである,ところの方法。
  6. 【請求項6】請求項1または2に記載の方法であって,
    前記電極の温度を上昇させる前記工程は,前記処理室内
    に反応ガスを供給し,プラズマを発生させる工程であ
    る,ところの方法。
  7. 【請求項7】請求項6に記載の方法であって,前記発生
    したプラズマにより,前記電極上にダミー膜を形成する
    工程を含む,ところの方法。
  8. 【請求項8】請求項7に記載の方法であって,前記電極
    の温度を上昇させる前記工程は,前記電極上に形成され
    たダミー膜をダミークリーニングする工程を含む,とこ
    ろの方法。
  9. 【請求項9】請求項8に記載の方法であって,前記ダミ
    ークリーニング工程は,遠隔プラズマ放電装置により活
    性化されたクリーニングガスを前記処理室に供給する工
    程である,ところの方法。
  10. 【請求項10】請求項7または8に記載の方法であっ
    て,前記電極の温度を上昇させる前記工程は,前記ダミ
    ークリーニング工程の後にガスを前記処理室に供給する
    工程を含む,ところの方法。
  11. 【請求項11】前記電極の温度を上昇させる前記工程
    は,前記被処理基板を前記処理室へ搬送するまでの間に
    行われる,ところの方法。
JP11134072A 1999-05-14 1999-05-14 半導体基板上に薄膜を形成する成膜装置における成膜方法 Expired - Lifetime JP3072989B1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP11134072A JP3072989B1 (ja) 1999-05-14 1999-05-14 半導体基板上に薄膜を形成する成膜装置における成膜方法
US09/570,195 US6187691B1 (en) 1999-05-14 2000-05-15 Method of forming film on semiconductor substrate in film-forming apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11134072A JP3072989B1 (ja) 1999-05-14 1999-05-14 半導体基板上に薄膜を形成する成膜装置における成膜方法

Publications (2)

Publication Number Publication Date
JP3072989B1 JP3072989B1 (ja) 2000-08-07
JP2000323468A true JP2000323468A (ja) 2000-11-24

Family

ID=15119736

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11134072A Expired - Lifetime JP3072989B1 (ja) 1999-05-14 1999-05-14 半導体基板上に薄膜を形成する成膜装置における成膜方法

Country Status (2)

Country Link
US (1) US6187691B1 (ja)
JP (1) JP3072989B1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108624862A (zh) * 2018-03-27 2018-10-09 中山大学 一种应用于ZnO MOCVD设备的自动化工艺生产线
US11600488B2 (en) 2019-03-06 2023-03-07 Kokusai Electric Corporation Method of manufacturing semiconductor device

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3655180B2 (ja) * 2000-09-26 2005-06-02 株式会社東芝 ウエハ処理方法及びウエハ処理装置
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6565502B2 (ja) * 2015-09-03 2019-08-28 株式会社島津製作所 成膜装置及び成膜方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5627089A (en) * 1993-08-02 1997-05-06 Goldstar Co., Ltd. Method for fabricating a thin film transistor using APCVD
US5567661A (en) * 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108624862A (zh) * 2018-03-27 2018-10-09 中山大学 一种应用于ZnO MOCVD设备的自动化工艺生产线
US11600488B2 (en) 2019-03-06 2023-03-07 Kokusai Electric Corporation Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
JP3072989B1 (ja) 2000-08-07
US6187691B1 (en) 2001-02-13

Similar Documents

Publication Publication Date Title
JP3072989B1 (ja) 半導体基板上に薄膜を形成する成膜装置における成膜方法
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
US5954887A (en) Cleaning processing method of a film forming apparatus
JP3971398B2 (ja) 自動清浄シーケンスにより薄膜形成装置内部を清浄化するための方法
US6843858B2 (en) Method of cleaning a semiconductor processing chamber
TWI457459B (zh) Membrane film forming method and memory medium of metal film
KR101139165B1 (ko) Ti계 막의 성막 방법 및 기억 매체
JPS62214175A (ja) 減圧cvd処理装置のクリーニング法
JP3257356B2 (ja) 気相成長装置及び気相成長方法並びに気相成長装置のクリーニング方法
JPH0456770A (ja) プラズマcvd装置のクリーニング方法
KR20020077166A (ko) 플라즈마 처리 방법
JP2000323475A (ja) 半導体基板上に薄膜を形成する成膜装置における成膜方法
JP2003007674A (ja) 半導体装置の製造方法
KR20010086318A (ko) 막형성 방법
KR100749375B1 (ko) 플라즈마 화학 증착 장치
JPS62218577A (ja) 気相反応装置用電極
JP2002060950A (ja) 化学的気相堆積処理を改善する方法
JP4570186B2 (ja) プラズマクリーニング方法
JPH1116891A (ja) プラズマ処理装置
JP2000003907A (ja) クリーニング方法及びクリーニングガス生成装置
JP2001089859A (ja) 薄膜形成装置の成膜、セルフクリーニング方法および薄膜形成装置
JPH11111698A (ja) 基板処理装置および基板処理方法
JP2003007620A (ja) クリーニング方法
JPH10189461A (ja) プラズマ処理装置
JP2004273648A (ja) プリコート層の形成方法及び成膜方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090602

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100602

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110602

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120602

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120602

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130602

Year of fee payment: 13

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term