DE69740178D1 - Plasmaätzreaktor und verfahren zu seinem betrieb - Google Patents

Plasmaätzreaktor und verfahren zu seinem betrieb

Info

Publication number
DE69740178D1
DE69740178D1 DE69740178T DE69740178T DE69740178D1 DE 69740178 D1 DE69740178 D1 DE 69740178D1 DE 69740178 T DE69740178 T DE 69740178T DE 69740178 T DE69740178 T DE 69740178T DE 69740178 D1 DE69740178 D1 DE 69740178D1
Authority
DE
Germany
Prior art keywords
reactor
electrode
power supply
plasma
powered
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69740178T
Other languages
English (en)
Inventor
Stephen P Deornellas
Leslie G Jerde
Alferd Cofer
Robert C Vail
Kurt A Olson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OEM Group LLC
Original Assignee
OEM Group LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OEM Group LLC filed Critical OEM Group LLC
Application granted granted Critical
Publication of DE69740178D1 publication Critical patent/DE69740178D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Absorbent Articles And Supports Therefor (AREA)
DE69740178T 1996-07-03 1997-01-23 Plasmaätzreaktor und verfahren zu seinem betrieb Expired - Lifetime DE69740178D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/675,559 US6500314B1 (en) 1996-07-03 1996-07-03 Plasma etch reactor and method
PCT/US1997/000917 WO1998000858A1 (en) 1996-07-03 1997-01-23 Plasma etch reactor and method

Publications (1)

Publication Number Publication Date
DE69740178D1 true DE69740178D1 (de) 2011-06-01

Family

ID=24711017

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69740178T Expired - Lifetime DE69740178D1 (de) 1996-07-03 1997-01-23 Plasmaätzreaktor und verfahren zu seinem betrieb

Country Status (8)

Country Link
US (5) US6500314B1 (de)
EP (1) EP0939972B1 (de)
JP (1) JP2000514599A (de)
CN (1) CN1161824C (de)
AT (1) ATE506690T1 (de)
CA (1) CA2259976A1 (de)
DE (1) DE69740178D1 (de)
WO (1) WO1998000858A1 (de)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
TW521295B (en) * 1999-12-13 2003-02-21 Semequip Inc Ion implantation ion source, system and method
US7838850B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
JP2002359236A (ja) * 2001-03-27 2002-12-13 Hitachi Kokusai Electric Inc 半導体製造装置
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20040163765A1 (en) * 2003-02-25 2004-08-26 Ans Co., Ltd. Plasma reactor for manufacturing electronic components
WO2004093824A2 (en) * 2003-04-08 2004-11-04 Fairfield Clinical Trials, Llc Peri-operative and peri-procedure nutritional supplementation
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
EP2479784B1 (de) * 2004-06-21 2018-12-19 Tokyo Electron Limited Vorrichtung und Verfahren zur Plasmaverarbeitung
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
JP2006066744A (ja) * 2004-08-27 2006-03-09 Tokyo Institute Of Technology 固体ソースエッチング装置及び固体ソースエッチング方法
KR100596327B1 (ko) * 2004-09-08 2006-07-06 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7196014B2 (en) * 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7985688B2 (en) * 2005-12-16 2011-07-26 Lam Research Corporation Notch stop pulsing process for plasma processing system
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7351664B2 (en) * 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
TWI471961B (zh) * 2007-10-26 2015-02-01 Sosul Co Ltd 擋板、基底支撐裝置、電漿處理裝置以及電漿處理方法
US20090134012A1 (en) * 2007-11-22 2009-05-28 Canon Anelva Corporation Sputtering apparatus and sputtering method
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP2009231385A (ja) * 2008-03-19 2009-10-08 Sanyo Electric Co Ltd 半導体装置の製造方法
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
JP2010278166A (ja) * 2009-05-27 2010-12-09 Tokyo Electron Ltd プラズマ処理用円環状部品、及びプラズマ処理装置
US8591709B1 (en) * 2010-05-18 2013-11-26 WD Media, LLC Sputter deposition shield assembly to reduce cathode shorting
JP5702968B2 (ja) * 2010-08-11 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ制御方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9633823B2 (en) * 2013-03-14 2017-04-25 Cardinal Cg Company Plasma emission monitor and process gas delivery system
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9653253B2 (en) * 2014-03-07 2017-05-16 Advanced Ion Beam Technology, Inc. Plasma-based material modification using a plasma source with magnetic confinement
US20160076142A1 (en) * 2014-03-07 2016-03-17 Advanced Ion Beam Technology, Inc. Deposition Apparatus and Deposition Method Using the Same
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10410889B2 (en) 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
KR101912886B1 (ko) * 2017-03-07 2018-10-29 에이피시스템 주식회사 가스 분사 장치, 이를 포함하는 기판 처리 설비 및 이를 이용한 기판 처리 방법
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
KR102391045B1 (ko) * 2020-08-25 2022-04-27 한국과학기술원 전자빔 방출 소스를 이용한 플라즈마 장치
US20230282449A1 (en) * 2022-03-03 2023-09-07 Applied Materials, Inc. Plasma shaper to control ion flux distribution of plasma source

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE295196C (de)
US2468174A (en) 1943-05-06 1949-04-26 Koppers Co Inc Apparatus for electriclaly transforming materials
DE1089112B (de) * 1958-02-13 1960-09-15 Thomson Houston Comp Francaise Vakuumpumpe
US3458817A (en) 1967-02-13 1969-07-29 Westinghouse Electric Corp Microwave high power short pulse shaper
US4233109A (en) 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS52127168A (en) 1976-04-19 1977-10-25 Fujitsu Ltd Etching unit
US4167370A (en) 1976-11-01 1979-09-11 Massachusetts Institute Of Technology Method of an apparatus for self-sustaining high vacuum in a high voltage environment
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4243476A (en) 1979-06-29 1981-01-06 International Business Machines Corporation Modification of etch rates by solid masking materials
JPS5930130B2 (ja) 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS5687672A (en) 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
DE3165961D1 (en) 1980-05-12 1984-10-18 Fujitsu Ltd Method and apparatus for plasma etching
US4340461A (en) 1980-09-10 1982-07-20 International Business Machines Corp. Modified RIE chamber for uniform silicon etching
US4401539A (en) * 1981-01-30 1983-08-30 Hitachi, Ltd. Sputtering cathode structure for sputtering apparatuses, method of controlling magnetic flux generated by said sputtering cathode structure, and method of forming films by use of said sputtering cathode structure
JPS57149734A (en) 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS58122731A (ja) 1982-01-14 1983-07-21 Toshiba Corp ドライエツチング方法
JPS5916334A (ja) 1982-07-19 1984-01-27 Matsushita Electronics Corp ドライエツチング方法
US4465953A (en) * 1982-09-16 1984-08-14 The United States Of America As Represented By The Secretary Of The Air Force Rippled-field magnetron apparatus
US4483737A (en) * 1983-01-31 1984-11-20 University Of Cincinnati Method and apparatus for plasma etching a substrate
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS6139520A (ja) 1984-07-31 1986-02-25 Hitachi Ltd プラズマ処理装置
JPS61116841A (ja) 1984-11-12 1986-06-04 Fujitsu Ltd ドライエツチング装置
JPS61136229A (ja) * 1984-12-06 1986-06-24 Toshiba Corp ドライエツチング装置
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4632719A (en) * 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
EP0284436B1 (de) 1987-03-27 1993-07-21 Canon Kabushiki Kaisha Gerät zur Bearbeitung von Substraten
US4790258A (en) 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
EP0297898B1 (de) * 1987-07-02 1995-10-11 Kabushiki Kaisha Toshiba Verfahren zum Trockenätzen
EP0343500B1 (de) 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasmaätzvorrichtung
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
JP2981749B2 (ja) 1989-05-30 1999-11-22 日本真空技術株式会社 プラズマ処理装置
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US4987102A (en) * 1989-12-04 1991-01-22 Motorola, Inc. Process for forming high purity thin films
US5127988A (en) 1989-12-27 1992-07-07 Yoshida Kogyo K.K. Process for the surface treatment of conductive material
JPH03241740A (ja) 1990-02-19 1991-10-28 Fujitsu Ltd 半導体装置の製造方法
JPH03254047A (ja) * 1990-03-02 1991-11-13 Nippon Telegr & Teleph Corp <Ntt> マイクロ波イオン銃
EP0457049A3 (en) 1990-04-19 1992-01-22 Kabushiki Kaisha Toshiba Dry etching method
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5192849A (en) 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
JPH0817171B2 (ja) 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
US20010054601A1 (en) * 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5308417A (en) 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5232571A (en) * 1991-12-23 1993-08-03 Iowa State University Research Foundation, Inc. Aluminum nitride deposition using an AlN/Al sputter cycle technique
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3079818B2 (ja) 1992-12-25 2000-08-21 富士電機株式会社 プラズマ処理装置
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
US5372674A (en) 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
KR0171060B1 (ko) 1993-12-28 1999-03-30 스기야마 카즈히코 반도체장치의 제조방법
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JPH07245296A (ja) 1994-03-07 1995-09-19 Tokyo Electron Ltd プラズマ処理装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07320671A (ja) * 1994-05-25 1995-12-08 Nec Kansai Ltd イオン打込み装置のイオン源および固体ソースの加熱方法
US5925212A (en) * 1995-09-05 1999-07-20 Applied Materials, Inc. Apparatus and method for attaining repeatable temperature versus time profiles for plasma heated interactive parts used in mass production plasma processing
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method

Also Published As

Publication number Publication date
CN1161824C (zh) 2004-08-11
EP0939972A4 (de) 2003-11-05
US20020139665A1 (en) 2002-10-03
US6905969B2 (en) 2005-06-14
US6620335B1 (en) 2003-09-16
WO1998000858A1 (en) 1998-01-08
US6500314B1 (en) 2002-12-31
CN1228196A (zh) 1999-09-08
US20050164513A1 (en) 2005-07-28
ATE506690T1 (de) 2011-05-15
CA2259976A1 (en) 1998-01-08
JP2000514599A (ja) 2000-10-31
EP0939972A1 (de) 1999-09-08
EP0939972B1 (de) 2011-04-20
US6354240B1 (en) 2002-03-12
US7223699B2 (en) 2007-05-29

Similar Documents

Publication Publication Date Title
DE69740178D1 (de) Plasmaätzreaktor und verfahren zu seinem betrieb
KR100198862B1 (ko) 플라즈마 처리장치
TW328138B (en) Chamber etching method of plasma processing apparatus and plasma apparatus using such method
TWI346145B (en) Voltage non-uniformity compensation method for high frequency plasma reactor for the treatment of rectangular large area substrates
EP0938134A3 (de) Verfahren zum Plasma-Äzen
ATE117739T1 (de) Verfahren und anordnung zur gleichspannungs- bogenentladungsunterstützten, reaktiven behandlung von gut.
ATE453206T1 (de) Abgestufte obere elektrode für plasmabehandlungsgleichmässigkeit
JPS6448421A (en) Ashing method
DE69623731D1 (de) Verfahren und Vorrichtung zur Reinigung eines Plasmareaktors
ATE207806T1 (de) Verfahren zur erhöhung der benetzbarkeit der oberfläche von werkstücken
AU2001247537A1 (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
EP1207546A3 (de) Gerät und Verfahren zur Plasmabehandlung eines Substrats
WO2003010809A1 (fr) Dispositif de traitement au plasma et table de montage de substrat
ATE499825T1 (de) Plasma-ätzreaktor und verfahren zum ätzen eines wafers
WO2002015222A3 (en) Use of pulsed voltage in a plasma reactor
KR920001645A (ko) 반응관 챔버의 자기 세척(self-clening)방법
SE9102378L (sv) Metod foer diamantbelaeggning med mikrovaagsplasma
JPS57201016A (en) Cleaning method for semiconductor manufacturing apparatus
GR3034871T3 (en) Method and apparatus for cleaning a metal substrate
JPS57134925A (en) Plasma cvd film producer
JPS5669382A (en) Surface treatment by plasma
JP2691018B2 (ja) プラズマエッチング法
JPS556410A (en) Plasma gas phase reactor
JPS6431976A (en) Plasma cvd device
KR100258867B1 (ko) 반도체 웨이퍼용 플라즈마 식각장치