DE3856553T2 - Wärmebehandlungsverfahren zum Erleichtern der Entfernung eines positiven Photoresists mit Entschichtungslösungen - Google Patents

Wärmebehandlungsverfahren zum Erleichtern der Entfernung eines positiven Photoresists mit Entschichtungslösungen Download PDF

Info

Publication number
DE3856553T2
DE3856553T2 DE3856553T DE3856553T DE3856553T2 DE 3856553 T2 DE3856553 T2 DE 3856553T2 DE 3856553 T DE3856553 T DE 3856553T DE 3856553 T DE3856553 T DE 3856553T DE 3856553 T2 DE3856553 T2 DE 3856553T2
Authority
DE
Germany
Prior art keywords
ion
photoresist
photoresists
positive organic
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE3856553T
Other languages
English (en)
Other versions
DE3856553D1 (de
Inventor
Wai Mun Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Application granted granted Critical
Publication of DE3856553D1 publication Critical patent/DE3856553D1/de
Publication of DE3856553T2 publication Critical patent/DE3856553T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Description

  • Diese Erfindung bezieht sich auf ein Verfahren zur Entfernung eines innenimplantierten positiven Photoresists und anderer schwierig zu entfernender polymerer Schichten von Substraten. Insbesondere bezieht sie sich auf ein neues Vor-Wärmebehandlungsverfahren, um positive Photoresists durch Strippinglösungen leichter entfernbar zu machen.
  • In Stripping-Zusammensetzungen werden verschiedene Aminverbindungen zur Entfernung positiver Photoresists von Halbleiterwafern verwendet, welche Isolierund/oder Leiterbilder, die auf ihren Oberflächen definiert sind, aufweisen, wobei die Verwendung des Photoresists . während der Herstellung von integrierten Schaltkreisen im Fachgebiet bekannt ist. Zum Beispiel ist Posistrip 830, erhältlich von EKC Technology, Hayward, CA 94540, das aggressivste kommerziell erhältliche Strippingmittel für positive Photoresists. Der Hauptwirkstoff von Posistrip 830 ist 2-(2-Aminoethoxy)ethanol. Ein anderes Material, das für diesen Zweck verwendet wird, ist N-Methylpyrrolidon.
  • Bei der Herstellung von Größtintegrationen (VLSI = very large scale integration) wird die Ionenimplantation verwendet, um zu Silizium oder anderem Halbleitermaterial Dotieratome hinzuzufügen, um die Leitfähigkeit des Halbleitermaterials zu verändern. Beispiele solcher Dotiermittel schließen Donoratome, oder n-Typ-Dotiermaterialien wie Phosphor, Arsen oder Antimon und Akzeptoratome oder p-Typ-Dotiermaterialien wie Bor, Aluminium oder Gallium ein. Die Überlegenheit der Ionenimplantation gegenüber Diffusionsdotierverfahren für diesen Zweck hat dazu geführt, dass sie das Diffusionsdotieren in einer zunehmenden Anzahl von Anwendungen ersetzt.
  • Damit die ionischen Spezies nicht in unerwünschte Substratregionen implantiert werden, muss auf der Waferfläche eine geeignete Maskierschicht vorhanden sein, die Öffnungen in den Bereichen aufweist, in denen eine Implantation erwünscht ist.
  • Für solche Maskierzwecke werden bei der Herstellung von integrierten Schaltkreisen viele Materialien verwendet, einschließlich Photoresists, SiO2, Si3N4, Polysilizium, Metallfilmen und Polyimid.
  • Gewöhnlich wird eine dünne Schicht SiO2 mit zum Beispiel einer Dicke von 200-300 Angström in die Öffnungen gegeben, um eine Abschirmung gegen eine Kontamination durch Metalle oder andere Verunreinigungen während der Implantation bereitzustellen. Wenn dies durchgeführt wird, sind Implantiervorrichtungen höherer Energie erforderlich, insbesondere . für Arsenimplantate, da der Implantierstrahl zuerst die Schutzschicht in den Öffnungen durchdringen muss, wobei er Energie verliert, bevor er zu dem Halbleitersubstrat gelangt. Bei modernen VLSI-Verfahren werden Implantierdosen im Bereich von ungefähr 1011-1016 Ionen/cm2 verwendet. Nach starken Dosen kann es sein, dass eine Photoresistmaskierschicht schwierig zu entfernen ist.
  • Als Ergebnis solcher Entfernungsschwierigkeiten ist das üblichste Verfahren zur Entfernung innenimplantierter Photoresists ein Sauerstoffplasmaverfahren, welches zeitaufwendig und teuer ist. Kommerziell erhältliche Photoresist-Strippinglösungen, einschließlich der Posistrip 830-Lösung und des N-Methylpyrrolidons, die oben erwähnt werden, haben sich als nicht geeignet zum Stripping solcher ionenimplantierter Photoresists, insbesondere bei hohen Dosislevels, erwiesen. Der Stand im Fachgebiet der Ionenimplantationsverfahren einschließlich der Schwierigkeit des Strippings innenimplantierter Photoresists wird in Stanley Wolf und Richard N. Tauber, Silicon Processing for the VLSI Era, Kapitel 9, „Ion Implatation for VLSI", Seiten 280–330, diskutiert.
  • EP-A-0219789 bezieht sich auf Lösungsmittelsysteme, die auf wasserlöslichen Amino-Derivaten und Propylenglykolverbindungen basieren, welche nützlich zur Entfernung von Photoresists sind.
  • EP-A-0145973 bezieht sich auf Stripping-Zusammensetzungen, die zur Entfernung positiver Photoresists nützlich sind.
  • US 4,617,251 bezieht sich auf eine Stripping-Zusammensetzung zur Entfernung organischer polymerer Materialien von Substraten.
  • Demgemäss ist es ein Gegenstand dieser Erfindung, ein verbessertes Verfahren zur Entfernung eines ionenimplantierten positiven Photoresists mit einer Strippingflüssigkeit bereitzustellen, welches dazu führt, dass das positive Photoresist leichter zu entfernen ist.
  • In einem ersten Aspekt stellt die vorliegende Erfindung ein Verfahren zum Stripping eines ionenimplantierten positiven organischen Photoresists von einem Substrat bereit, das das Vor-Wärmebehandeln des ionenimplantierten positiven organischen Photoresists bei einer Temperatur im Bereich von 150 bis 220°C für eine Zeitdauer von 15 Minuten bis 30 Minuten, dann das Inkontaktbringen des ionenimplantierten positiven organischen Photoresists mit einem flüssigen Photoresist-Stripper für eine Zeitdauer, die ausreicht, um das ionenimplantierte positive organische Photoresist von dem Substrat zu entfernen, umfasst.
  • In einem zweiten Aspekt stellt die vorliegende Erfindung ein Herstellungsverfahren für einen integrierten Schaltkreis bereit, das das Bilden einer Schicht eines positiven organischen Photoresists auf einem Halbleiterwafer, das Bestimmen einer Öffnung in der Schicht des positiven organischen Photoresists, die einem gewünschten Dotier-Bereich in dem Halbleiterwafer entspricht, das Dotieren des Halbleiterwafers durch Ionenimplantieren einer Dotierstoff-Störstelle in den Halbleiterwafer durch die Öffnung in der Schicht des positiven organischen Photoresists, das Vor-Wärmebehandeln der Schicht des ionenimplantierten positiven organischen Photoresists bei einer Temperatur im Bereich von 150 bis 220°C für eine Zeitdauer von 15 Minuten bis 30 Minuten, um die Schicht des ionenimplantierten positiven organischen Photoresists leichter mit einer Strippingflüssigkeit entfernbar zu machen und das Inkontaktbringen der Schicht des ionenimplantierten positiven organischen Photoresists mit einem flüssigen Photoresist-Stripper für eine Zeitdauer, die ausreicht, um die Schicht des ionenimplantierten positiven organischen Photoresists von dem Halbleiterwafer zu entfernen, umfasst.
  • Bevorzugte Merkmale des ersten und des zweiten Aspekts der vorliegenden Erfindung werden in den hierzu anhängigen Ansprüchen wiedergegeben.
  • Aufgrund ihrer starken Alkalinität sind Triamin-Strippinglösungen zur Verwendung in einer bevorzugten Ausführungsform des Verfahrens gemäß der vorliegenden Erfindung in der Lage, ionenimplantierte positive Photoresists zu entfernen, selbst, wenn zur Herstellung von VLSI hohe Dosen verwendet werden. Zur gleichen Zeit greifen diese Lösungen die Substratmaterialien, die gewöhnlich bei der Herstellung von integrierten Schaltkreisen verwendet werden, einschließlich Silizium, Siliziumdioxid, Siliziumnitrit und Galliumarsenit, unter normalen Verfahrensbedingungen nicht an. Während das Vor-Wärmebehandlungsverfahren insbesondere vorteilhaft ist, wenn es zusammen mit den Triamin-Strippinglösungen verwendet wird, führt ein solches Vor-Wärmebehandlungsverfahren auch dazu, dass das positive organische Photoresist leichter mit anderen Strippingflüssigkeiten für das positive organische Photoresist nach einer Ionenimplantation in Gegenwart des positiven organischen Photoresists leichter zu entfernen sind.
  • Das Erreichen der vorangehenden und damit in Verbindung stehenden, Gegenstände, Vorteile und Merkmale der Erfindung sollten dem Fachmann offensichtlicher werden, wenn die folgende detailliertere Beschreibung der Erfindung in Betracht gezogen wird.
  • Positive Photoresists basieren auf der Verwendung eines Novolakmatrixharzes und typischerweise einer photoaktiven Diazochinonverbindung oder eines Sensibilisators. Novolakharze sind in üblichen organischen Lösungsmitteln löslich und sind aufgrund der sauren Eigenschaft ihrer Phenolfunktionalität auch in auf Wasser basierenden Lösungen löslich. Die Diazochinonderivate sind in gewöhnlichen organischen Lösungsmitteln löslich, sind aber in wässrigen Basen unlöslich. Bei Einwirkung von Licht gehen diese Substanzen eine Reihe von Reaktionen ein, die zur Bildung einer Indencarbonsäure führen. Im Gegensatz zu seinem Vorläufer ist das Photoprodukt aufgrund seiner Carbonsäurefunktionalität in wässrigen Basen extrem löslich. Diese Substanzen werden mit Übergangs- und Nichtübergangsmetallen wie Phosphor, Arsen und Antimon reagieren, wobei eine weitreichende Chemie organometallischer Verbindungen erzeugt wird. Während der Ionenimplantation wird das Photoresist einem Bombardment hoher Energie ausgesetzt, das eine ideale Umgebung zur Bildung der organometallischen Verbindung darstellt. Diese organometallischen Verbindungen weisen verschiedene Löslichkeitseigenschaften auf, wodurch es schwierig wird, sie in üblichen Lösungsmitteln zu solubilisieren, und sie sind auch unempfindlich gegenüber starken Oxidationsmitteln wie Schwefelsäure. Im vorliegenden Verfahren wird vorzugsweise eine Kombination aus Hochtemperatur-Vor-Wärmebehandlung, starken alkalischen Lösungsmitteln eines Diethylentriamins und Ultraschallvibrationen verwendet, um den metallisierten Film durch ein Abhebevertahren zu entfernen. Das Hochtemperatur-Vor-Wärmebehandeln des organometallischen Films gewährleistet, dass die Bildung des organometallischen Films vervollständigt wird.
  • Der Vor-Wärmebehandlungsschritt wird bei einer Temperatur von zwischen ungefähr 150 und 220°C, vorzugsweise zwischen ungefähr 180 und 200°C für eine Zeitdauer von ungefähr 15 Minuten bis ungefähr 30 Minuten durchgeführt. Die kürzeren Zeiten werden bei höheren Temperaturen angewandt.
  • Triamine, die in dem Verfahren dieser Erfindung zweckdienlich sind, weisen die allgemeine Formel
    Figure 00050001
    auf, worin R1 und R2 jeweils unabhängig eine difunktionelle geradkettige oder verzweigtkettige Kohlenwasserstoff- oder substituierte Kohlenwasserstoffgruppe mit ungefähr 2 bis ungefähr 20 Kohlenstoffatomen ist, einschließlich geradkettiger und verzweigtkettiger, heterocyclisch, aromatisch, Halogen-, Hydroxy- und Cyanosubstituierter aliphatischer Gruppen. Geeignete spezifische Beispiele geeigneter Triamine für die Zusammensetzung und das Verfahren dieser Erfindung schließen Diethylentriamin, 1-Benzyl- und 1-Cyanoethyl-substituiertes Diethylentriamin, 1,2-Dibenzyldiethylentriamin, Lauryldiethylentriamin, N-(2-Hydroxyethyl)diethylentriamin, N-(2-Hydroxypropyl)diethylentriamin und dergleichen ein. Das bevorzugte Triamin ist Diethylentriamin.
  • Geeignete spezifische Beispiele polarer organischer Lösungsmittel zur Verwendung in dem Verfahren schließen N-Methylpyrrolidon, Dimethylformamid, Butyrolacton, Glykolether, Glykoletheracetal und dergleichen ein. Die bevorzugten polaren organischen Lösungsmittel sind N-Methylpyrrolidon und Dimethylformamid. Geeignete spezifische Beispiele unpolarer organischer Lösungsmittel schließen aliphatische und aromatische Kohlenwasserstoff- und chlorierte Kohlenwasserstoff-Lösungsmittel wie Benzol, Alkylbenzole, Leichtbenzine und dergleichen ein.
  • Die bevorzugten unpolaren organischen Lösungsmittel sind aromatische und chlorierte Lösungsmittel. Die Lösungsmittel können einzeln oder als Mischungen verwendet werden. Aufgrund der erhöhten Temperaturen, die in dem Verfahren verwendet werden, sollten hochsiedende Lösungsmittel verwendet werden.
  • Der bevorzugte Zusammensetzungsbereich ist von ungefähr 40 bis ungefähr 75 Gew.-% des Triamins und von ungefähr 60 bis ungefähr 25 Gew.-% des organischen Lösungsmittels, wobei ungefähr 50 Gew.-% jeder Komponente insbesondere bevorzugt sind.
  • Es ist bevorzugt, das mit dem Verfahren dieser Erfindung zu strippende Photoresist Ultraschallenergie auszusetzen, während das Photoresist mit der Triamin-Zusammensetzung kontaktiert wird, um insbesondere nach einer Behandlung des Photoresists mit höheren Dosislevels der Ionenimplantation zu einer vollständigen Entfernung des Photoresists beizutragen. Für diesen Zweck werden herkömmliche Bedingungen zur Anwendung von Ultraschallenergie verwendet, indem z. B. ein Ultraschallwandler verwendet wird, der bei einer Frequenz von ungefähr 30 bis 50 MHz und einer Leistung von ungefähr 0,1 bis ungefähr 2,5 Watt/Zoll3 betrieben wird, der extern an einen Behälter angebracht ist, welcher die Photoresist-beschichteten Wafer und die Triamin-Stripping-Zusammensetzung enthält.
  • Das Verfahren dieser Erfindung ist zur Verwendung bei allen gewöhnlich verwendeten positiven Photoresists, die in der Halbleiterindustrie verwendet werden, geeignet. Beispiele solcher positiver Photoresists schließen Shipley 1325- und 1822-Resists, MacDermid EPA 914-Resists, Kodak 820- und 825-Resists und Dyna-Chem Thiokol OFPR-800-Resists und dergleichen ein.
  • Die folgenden nichtbeschränkenden Beispiele stellen aus Sicht des Erfinders bevorzugte Formen und beste Arten zur Durchführung dieser Erfindung dar und veranschaulichen die Ergebnisse, die durch ihre Anwendung erhalten werden.
  • BEISPIEL 1
  • Siliziumdioxid-beschichtete Siliziumwafer wurden mit Hexamethyldisilazan (HMDS) grundiert und kommerziell erhältliche Kodak 825-, OFPR-800-, Shipley 1375- und 1822- und MacDermid 914-Photoresists wurden aufgesponnen (spun on) und bei 150°C für 30 Minuten gemäß den Instruktionen des jeweiligen Photoresistlieferanten wärmebehandelt. Die Photoresist-beschichteten Wafer wurden bei Energielevels von 80, 100, 120 und 150 KeV bei Dosislevels von 1 × 1010 und 1 × 1016 Ionen/cm2 mit Arsen implantiert. Das Photoresist wurde dann in 40 und 50 Gew.-%-Lösungen von Diethylentriamin in N-Methylpyrrolidon unter Verwendung der folgenden Vorgehensweise gestrippt: Die Wafer wurden bei 180°C für 15 Minuten vorwärmebehandelt. 600 ml der Diethylentriamin-Strippinglösungen wurden in einem Becherglas platziert und auf 90±5°C erhitzt. Die Wafer wurden für 2 bis 5 Minuten in die Strippinglösung eingebracht, für 5 Minuten in einen Pumpenspüler mit entionisiertem Wasser transferiert und visuell auf Photoresistreste untersucht, wobei die Ergebnisse, die in Tabelle 1 gezeigt sind, erhalten wurden. Zu Vergleichszwecken wurden auch gemäß der obigen Vorgehensweise hergestellte Wafer mit kommerziell erhältlicher Posistrip 830-Diglykolaminlösung gestrippt.
  • Tabelle I
    Figure 00080001
  • Die obigen Ergebnisse zeigen, dass die Diethylentriamin-Lösung die Photoresists bei Ionenimplantations-Dosislevels von 1 × 1010 Ionen/cm2 erfolgreich gestrippt haben, indem das Photoresist gelöst wurde, und dass sie bei Ionenimplantations-Dosislevels von 1 × 1016 Ionen/cm2 zu einer unvollständigen Photoresist-Entfernung geführt haben. Die Diglykolaminlösung konnte das Photoresist bei beiden Dosislevels nicht entfernen.
  • BEISPIEL 2
  • Es wurde die Vorgehensweise von Beispiel 1 wiederholt, aber mit Arsenionenimplantierten Wafern bei einem Dosislevel von 1 × 1016 Ionen/cm2, einer Strippinglösungstemperatur von 100±5°C, einer Strippingzeitdauer von 1 bis 5 Minuten und mit den erhitzten Strippinglösungen in einem Ultraschallbad mit einem externen Ultraschallwandler, der bei 40 MHz und einem Leistungslevel von 0,2 Watt/Zoll3 betrieben wurde. Die erhaltenen Ergebnisse sind unten in Tabelle II gezeigt.
  • Tabelle II
    Figure 00090001
  • Die obigen Ergebnisse zeigen, dass die Diethylentriamin-Lösungen zu einem erfolgreichen Strippen der Photoresists bei dem hohen Ionenimplantations-Dosislevel durch ein Abhebeverfahren führen, dass aber mit der Diglykolaminlösung wieder keine Entfernung der Photoresists möglich war.
  • BEISPIEL 3 (Vergleich)
  • Es wurden die Diethylentriamin-Lösungen wie in Beispiel 1 verwendet, um wie in Beispiel 1 hergestellte Photoresist-beschichtete Wafer zu strippen, wobei aber keine Vor-Wärmebehandlung des Photoresists auf den Wafern und nur N-Methylpyrrolidon als Vergleichsstripper verwendet wurde. Es wurden 600 ml der Diethylentriamin-Strippinglösungen in einem Becherglas platziert und auf 110°C erhitzt. Die Wafer wurden für 5 Minuten in die Strippinglösung eingebracht, für 5 Minuten in einen Pumpenspüler oder einen Sprühspüler mit entionisiertem Wasser transferiert und visuell auf Photoresistreste untersucht. Die Diethylentriamin-Lösungen strippten die Photoresists bei Ionenimplantations-Dosislevels von 1 × 1010 Ionen/cm2 erfolgreich durch Auflösen der Photoresists und erzielten eine 65%-ige Photoresist-Entfernung bei Ionenimplantations-Dosislevels von 1 × 1016 Ionen/cm2. Bei Verwendung von N-Methylpyrrolidon alleine wurde bei beiden Dosislevels nur eine 45%-ige Photoresist-Entfernung erhalten.
  • BEISPIEL 4 (Vergleich)
  • Es wurden die Diethylentriamin-Lösungen wie in Beispiel 1 verwendet, um wie in Beispiel 1 hergestellte Photoresist-beschichtete Wafer zu strippen, aber es wurde keine Vor-Wärmebehandlung des Photoresists auf den Wafern durchgeführt und während des Photoresist-Strippings wurde Ultraschallenergie unter Verwendung der folgenden Vorgehensweise angewandt. Eine Menge von 2 Gallon Diethylentriamin-Strippinglösungen wurde in einen 5 Gallon-Tank mit einem externen Ultraschallwandler platziert und auf 110°C erhitzt. Die Wafer wurden für 5 Minuten in die Strippinglösung eingebracht, wobei der externe Ultraschallwandler bei 40 MHz und einem Leistungslevel von 0,7 Watt/Zoll3 betrieben wurde. Die Wafer wurden dann für 5 Minuten in einen Pumpenspüler oder Sprühspüler mit entionisiertem Wasser transferiert und visuell auf Photoresistreste untersucht. Die Diethylentriamin-Lösungen strippten die Photoresists bei Ionenimplantations-Dosislevels von 1 × 1010 Ionen/cm2 erfolgreich, indem die Photoresists aufgelöst wurden, und sie führten zu einer 80%-igen Photoresist-Entfernung bei Ionenimplantations-Dosislevels von 1 × 1016 Ionen/cm2. Bei höheren Dosislevels wurde eine 100%-ige Entfernung der Photoresists erhalten, indem die Strippingzeit auf 30 Minuten erhöht wurde.
  • BEISPIEL 5
  • Es wurden die Diethylentriamin-Lösungen wie in Beispiel 1 verwendet, um wie in Beispiel 1 hergestellte Photoresist-beschichtete Wafer zu strippen, einschließlich des Vor-Wärmebehandlungsschrittes, aber ohne die Anwendung von Ultraschallenergie, unter Anwendung der folgenden Vorgehensweise. Eine Menge von 600 ml der Diethylentriamin-Lösung wurde in einem Becherglas platziert und auf 110°C erhitzt. Die Wafer wurden für 5 Minuten in die Strippinglösung eingebracht, für 5 Minuten in einen Pumpenspüler oder Sprühspüler mit entionisiertem Wasser transferiert und visuell auf Photoresistreste untersucht. Die Ergebnisse zeigten, dass die Diethylentriamin-Lösungen die Photoresists bei Ionenimplantations-Dosislevels von 1 × 1010 Ionen/cm2 erfolgreich strippten, indem die Photoresists gelöst wurden, und dass bei dem höheren Ionenimplantations-Dosislevel eine Photoresist-Entfernung von 75% erreicht wurde.
  • BEISPIEL 6
  • Es wurden die Diethylentriamin-Lösungen wie in Beispiel 1 verwendet, um wie in Beispiel 1 hergestellte Photoresist-beschichtete Wafer unter Verwendung der folgenden Vorgehensweise zu strippen, wobei während des Photoresiststrippings Ultraschallenergie angewandt wurde, und wobei N-Methylpyrrolidon als Vergleichsstripper alleine verwendet wurde. Eine Menge von 2 Gallon Diethylentriamin-Strippinglösungen wurden in einem 5 Gallon-Tank mit einem externen Ultraschallwandler platziert und auf 110°C erhitzt. Die Wafer wurden für 5 Minuten in die Strippinglösungen eingebracht, wobei der externe Ultraschallwandler bei 40 MHz und einem Leistungslevel von 0,7 Watt/Zoll3 betrieben wurde. Die Wafer wurden dann für 5 Minuten in einen Pumpenspüler oder Sprühspüler mit entionisiertem Wasser transferiert und visuell auf Photoresistreste untersucht. Die Diethylentriamin-Lösungen strippten die Photoresists bei Ionenimplantations-Dosislevels von 1 × 1010 Ionen/cm2 erfolgreich, indem die Photoresists gelöst wurden, und sie führten zu einer 100%-igen Photoresist-Entfernung bei Ionenimplantations-Dosislevels von 1 × 1016 Ionen/cm2. Bei den höheren Implantations-Dosislevels strippten die Diethylentriamin-Lösungen die Photoresists erfolgreich durch ein Abhebeverfahren, aber N-Methylpyrrolidon führte zu einer unvollständigen Photoresist-Entfernung bei beiden Dosislevels.
  • BEISPIEL 7
  • Gemäß der Vorgehensweise von Beispiel 1 hergestellte Wafer, die jedoch mit Bor bei 150 KeV und einem Dosislevel von 1 × 1015 Ionen/cm2 ionenimplantiert wurden, wurden in einer Lösung, bestehend aus 75 Gew.-% Diethylentriamin in Dimethylformamid, unter Verwendung der Strippingprozedur von Beispiel 6 gestrippt.
  • Die Ergebnisse zeigten eine 100%-ige Entfernung der Photoresists innerhalb von 5 Minuten Strippingdauer.
  • Eine Substitution gegen andere Triamine in den Vorgehensweisen der obigen Beispiele führt zu vergleichbaren vorteilhaften Ergebnissen.
  • Es sollte für den Fachmann nun leicht verständlich sein, dass ein neues Photoresiststrippingvertahren bereitgestellt wurde, das die angegebenen Aufgaben der Erfindung lösen kann. Das Verfahren entfernt ionenimplantierte positive Photoresists selbst bei hohen Ionenimplantations-Dosislevels vollständig von Halbleiterwafern, ohne dass die Wafer angegriffen werden. Das Verfahren ist geeignet zur Verwendung bei herkömmlich verwendeten positiven Photoresists, die bei der Herstellung von VLSI integrierten Schaltkreisen verwendet werden.
  • Es sollte dem Fachmann ferner offensichtlich sein, dass verschiedene Änderungen in der Form und in Details der Erfindung wie sie gezeigt und beschrieben ist, durchgeführt werden können. Der Umfang der Erfindung wird durch die hierzu anhängigen Ansprüche definiert.

Claims (10)

  1. Verfahren zum Stripping eines ionenimplantierten positiven organischen Photoresists von einem Substrat, das das Vor-Wärmebehandeln des ionenimplantierten positiven organischen Photoresists bei einer Temperatur im Bereich von 150 bis 220°C für eine Zeitdauer von 15 Minuten bis 30 Minuten, dann das Kontaktieren des ionenimplantierten positiven organischen Photoresists mit einem flüssigen Photoresist-Strippen für eine Zeitdauer, die ausreicht, um das ionenimplantierte positive organische Photoresist von dem Substrat zu entfernen, umfasst.
  2. Verfahren nach Anspruch 1, zusätzlich umfassend das Behandeln des ionenimplantierten positiven organischen Photoresists mit Ultraschall-Vibrationen, während das ionenimplantierte positive organische Photoresist mit dem flüssigen Photoresist-Stripper kontaktiert wird.
  3. Verfahren nach Anspruch 1 oder Anspruch 2, in dem die Schicht des ionenimplantierten positiven organischen Photoresists mit dem flüssigen Photoresist-Stripper bei einer Temperatur im Bereich von 80°C bis 120°C für eine Zeitdauer von 1 Minute bis 20 Minuten kontaktiert wird.
  4. Herstellungsverfahren für einen integrierten Schaltkreis, das das Bilden einer Schicht eines positiven organischen Photoresists auf einem Halbleiterwafer, das Bestimmen einer Öffnung in der Schicht des , positiven organischen Photoresists, die einem gewünschten Dotier-Bereich in dem Halbleiterwafer entspricht, das Dotieren des Halbleiterwafers durch Ionenimplantieren einer Dotierstoft-Störstelle in den Halbleiterwafer durch die Öffnung in der Schicht des positiven organischen Photoresists, das Vor-Wärmebehandeln der Schicht des ionenimplantierten positiven organischen Photoresists bei einer Temperatur im Bereich von 150 bis 220°C für eine Zeitdauer von 15 Minuten bis 30 Minuten, um die Schicht des ionenimplantierten positiven organischen Photoresists leichter mit einer Stripper-Flüssigkeit entfernbar zu machen und das Inkontaktbringen der Schicht des ionenimplantierten positiven organischen Photoresists mit einem flüssigen Photoresist-Stripper für eine Zeitdauer, die ausreicht, um die Schicht des ionenimplantierten positiven organischen Photoresists von dem Halbleiterwafer zu entfernen, umfasst.
  5. Herstellungsverfahren für einen integrierten Schaltkreis nach Anspruch 4, zusätzlich umfassend das Behandeln der Schicht des ionenimplantierten positiven organischen Photoresists mit Ultraschall-Vibrationen, während die Schicht des ionenimplantierten positiven organischen Photoresists mit dem flüssigen Photoresist-Stripper kontaktiert wird.
  6. Herstellungsverfahren für einen integrierten Schaltkreis nach einem der Ansprüche 4 bis 5, in dem die Schicht des ionenimplantierten positiven organischen Photoresists mit dem flüssigen Photoresist-Stripper bei einer Temperatur im Bereich von 80°C bis 120°C für eine Zeitdauer von 1 Minute bis 20 Minuten kontaktiert wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, in dem der flüssige Photoresist-Stripper ein Triamin in einer Menge von 25 bis 100 Gew.-% und ein polares oder unpolares organisches Lösungsmittel in einer Menge von 0 bis 75 Gew.-% umfasst.
  8. Verfahren nach Anspruch 7, in dem das Triamin Diethylentriamin ist.
  9. Verfahren nach Anspruch 7 oder Anspruch 8, in dem das organische Lösungsmittel N-Methylpyrrolidon oder Dimethylformamid ist.
  10. Verfahren nach einem der Ansprüche 8 bis 9, in dem das Triamin in einer Menge von 40 bis 75 Gew.-% vorhanden ist, und das organische Lösungsmittel in einer Menge von 25 Gew.-% bis 60 Gew.-% vorhanden ist.
DE3856553T 1987-07-30 1988-07-20 Wärmebehandlungsverfahren zum Erleichtern der Entfernung eines positiven Photoresists mit Entschichtungslösungen Expired - Lifetime DE3856553T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/079,714 US4824763A (en) 1987-07-30 1987-07-30 Triamine positive photoresist stripping composition and prebaking process
US79714 1987-07-30

Publications (2)

Publication Number Publication Date
DE3856553D1 DE3856553D1 (de) 2003-03-06
DE3856553T2 true DE3856553T2 (de) 2004-02-19

Family

ID=22152327

Family Applications (2)

Application Number Title Priority Date Filing Date
DE3856202T Expired - Fee Related DE3856202T2 (de) 1987-07-30 1988-07-20 Triamin-Entschichtungslösung für positive Photolacke
DE3856553T Expired - Lifetime DE3856553T2 (de) 1987-07-30 1988-07-20 Wärmebehandlungsverfahren zum Erleichtern der Entfernung eines positiven Photoresists mit Entschichtungslösungen

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE3856202T Expired - Fee Related DE3856202T2 (de) 1987-07-30 1988-07-20 Triamin-Entschichtungslösung für positive Photolacke

Country Status (7)

Country Link
US (2) US4824763A (de)
EP (2) EP0301756B1 (de)
JP (2) JP2930956B2 (de)
KR (1) KR890003003A (de)
AT (2) ATE167310T1 (de)
DE (2) DE3856202T2 (de)
HK (2) HK1011882A1 (de)

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5114827A (en) * 1988-06-28 1992-05-19 Microelectronics Center Of N.C. Photoresists resistant to oxygen plasmas
US4968582A (en) * 1988-06-28 1990-11-06 Mcnc And University Of Nc At Charlotte Photoresists resistant to oxygen plasmas
US5102777A (en) * 1990-02-01 1992-04-07 Ardrox Inc. Resist stripping
US6187730B1 (en) 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US6492311B2 (en) 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US20040018949A1 (en) * 1990-11-05 2004-01-29 Wai Mun Lee Semiconductor process residue removal composition and process
US6121217A (en) 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US6000411A (en) * 1990-11-05 1999-12-14 Ekc Technology, Inc. Cleaning compositions for removing etching residue and method of using
US7205265B2 (en) * 1990-11-05 2007-04-17 Ekc Technology, Inc. Cleaning compositions and methods of use thereof
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US6242400B1 (en) 1990-11-05 2001-06-05 Ekc Technology, Inc. Method of stripping resists from substrates using hydroxylamine and alkanolamine
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US6546939B1 (en) 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
DE69333877T2 (de) * 1992-07-09 2006-06-14 Ekc Technology Inc Reinigungsmittelzusammensetzung, das einem Redox Aminverbindung enthält
EP0605089B1 (de) * 1992-11-03 1999-01-07 International Business Machines Corporation Photolackzusammensetzung
JP2688168B2 (ja) 1992-11-03 1997-12-08 インターナショナル・ビジネス・マシーンズ・コーポレイション フォトレジストイメージ形成プロセス
JPH06204162A (ja) * 1992-12-28 1994-07-22 Mitsubishi Electric Corp 半導体装置の製造方法および該方法に用いられるレジスト組成物
JP2823768B2 (ja) * 1993-02-22 1998-11-11 松下電器産業株式会社 半導体装置の製造方法
US7144849B2 (en) * 1993-06-21 2006-12-05 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5597678A (en) * 1994-04-18 1997-01-28 Ocg Microelectronic Materials, Inc. Non-corrosive photoresist stripper composition
US5472830A (en) * 1994-04-18 1995-12-05 Ocg Microelectronic Materials, Inc. Non-corrosion photoresist stripping composition
US5545353A (en) * 1995-05-08 1996-08-13 Ocg Microelectronic Materials, Inc. Non-corrosive photoresist stripper composition
KR0154164B1 (ko) * 1994-07-11 1998-12-01 김주용 반도체소자의 제조방법
US5670376A (en) * 1994-12-14 1997-09-23 Lucent Technologies Inc. Methodology for monitoring solvent quality
US5561105A (en) * 1995-05-08 1996-10-01 Ocg Microelectronic Materials, Inc. Chelating reagent containing photoresist stripper composition
US5507978A (en) * 1995-05-08 1996-04-16 Ocg Microelectronic Materials, Inc. Novolak containing photoresist stripper composition
US5612304A (en) * 1995-07-07 1997-03-18 Olin Microelectronic Chemicals, Inc. Redox reagent-containing post-etch residue cleaning composition
US5648324A (en) * 1996-01-23 1997-07-15 Ocg Microelectronic Materials, Inc. Photoresist stripping composition
US5665688A (en) * 1996-01-23 1997-09-09 Olin Microelectronics Chemicals, Inc. Photoresist stripping composition
US5741368A (en) * 1996-01-30 1998-04-21 Silicon Valley Chemlabs Dibasic ester stripping composition
US5909744A (en) * 1996-01-30 1999-06-08 Silicon Valley Chemlabs, Inc. Dibasic ester stripping composition
US6511547B1 (en) 1996-01-30 2003-01-28 Siliconvalley Chemlabs, Inc. Dibasic ester stripping composition
US5904570A (en) * 1996-05-20 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for polymer removal after etching
US5780406A (en) * 1996-09-06 1998-07-14 Honda; Kenji Non-corrosive cleaning composition for removing plasma etching residues
US5759973A (en) * 1996-09-06 1998-06-02 Olin Microelectronic Chemicals, Inc. Photoresist stripping and cleaning compositions
US5817610A (en) * 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6268323B1 (en) 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
US5798323A (en) * 1997-05-05 1998-08-25 Olin Microelectronic Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6432209B2 (en) * 1998-03-03 2002-08-13 Silicon Valley Chemlabs Composition and method for removing resist and etching residues using hydroxylazmmonium carboxylates
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6368421B1 (en) 1998-07-10 2002-04-09 Clariant Finance (Bvi) Limited Composition for stripping photoresist and organic materials from substrate surfaces
US20020132491A1 (en) * 1998-12-31 2002-09-19 John E. Lang Method of removing photoresist material with dimethyl sulfoxide
JP3393082B2 (ja) 1999-04-02 2003-04-07 東京エレクトロン株式会社 現像方法および現像装置
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
AU2001273598A1 (en) 2000-06-29 2002-01-14 Huntsman Petrochemical Corporation Carbonate-based photoresist stripping compositions
US6764551B2 (en) * 2001-10-05 2004-07-20 International Business Machines Corporation Process for removing dopant ions from a substrate
JP4314320B2 (ja) * 2002-04-10 2009-08-12 三菱電機株式会社 化合物半導体装置の製造方法
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
TW200404829A (en) * 2002-08-19 2004-04-01 Rohm & Haas Resin cleaning method
US20040157759A1 (en) * 2003-02-07 2004-08-12 Buckeye International, Inc. Stripper formulations and process
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
US7395717B2 (en) * 2006-02-10 2008-07-08 Milliken & Company Flexible capacitive sensor
EP1903400A1 (de) * 2006-09-20 2008-03-26 Interuniversitair Microelektronica Centrum Verfahren zur Entfernung von Fotolackschichten von einem Substrat
JP2010538167A (ja) * 2007-09-06 2010-12-09 イー.ケー.シー.テクノロジー.インコーポレーテッド 銅表面を処理するための組成物およびその方法
US8143206B2 (en) * 2008-02-21 2012-03-27 S.C. Johnson & Son, Inc. Cleaning composition having high self-adhesion and providing residual benefits
US9410111B2 (en) 2008-02-21 2016-08-09 S.C. Johnson & Son, Inc. Cleaning composition that provides residual benefits
US9481854B2 (en) 2008-02-21 2016-11-01 S. C. Johnson & Son, Inc. Cleaning composition that provides residual benefits
EP2167627A1 (de) * 2008-02-21 2010-03-31 S.C.Johnson & Son, Inc Reinigungszusammensetzung mit vorteilhafter nachwirkung
US8980813B2 (en) 2008-02-21 2015-03-17 S. C. Johnson & Son, Inc. Cleaning composition having high self-adhesion on a vertical hard surface and providing residual benefits
US8143205B2 (en) * 2008-02-21 2012-03-27 S.C. Johnson & Son, Inc. Cleaning composition having high self-adhesion and providing residual benefits
US8993502B2 (en) 2008-02-21 2015-03-31 S. C. Johnson & Son, Inc. Cleaning composition having high self-adhesion to a vertical hard surface and providing residual benefits
US8101561B2 (en) 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
DE202009016945U1 (de) 2009-12-15 2010-03-18 Cognis Ip Management Gmbh Reinigungsmittel für Elektronikbauteile
CN104423182B (zh) * 2013-08-29 2019-05-10 得凯莫斯公司弗罗里达有限公司 正型光阻剥除剂
JP6536464B2 (ja) * 2016-04-26 2019-07-03 信越化学工業株式会社 洗浄剤組成物及び薄型基板の製造方法
KR102209389B1 (ko) * 2016-09-26 2021-01-28 주식회사 엘지화학 포토레지스트 제거용 스트리퍼 폐액의 정제 방법
WO2019182872A1 (en) 2018-03-19 2019-09-26 Lam Research Corporation Chamfer-less via integration scheme
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
JP2024506160A (ja) * 2021-02-12 2024-02-09 ラム リサーチ コーポレーション 量子効率の良いフォトレジストおよびその方法
CN117872693B (zh) * 2024-03-13 2024-07-12 深圳市松柏科工股份有限公司 正胶剥离液、正胶剥离液的制备方法及其应用

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK130078C (de) * 1967-12-14
US4072528A (en) * 1972-09-27 1978-02-07 E. I. Du Pont De Nemours And Company Oxygen barrier layers for photopolymerizable elements
US4078102A (en) * 1976-10-29 1978-03-07 International Business Machines Corporation Process for stripping resist layers from substrates
CH636121A5 (de) * 1977-03-18 1983-05-13 Schaefer Chemisches Inst Ag Metall-ionen-, phosphat- und enzym-freies reiniger-konzentrat.
US4403029A (en) * 1982-09-02 1983-09-06 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4401748A (en) * 1982-09-07 1983-08-30 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
JPS59134891A (ja) * 1983-01-21 1984-08-02 メック株式会社 プリント基板洗浄液
JPS6096695A (ja) * 1983-10-31 1985-05-30 三洋化成工業株式会社 洗浄剤組成物
JPS60131535A (ja) * 1983-12-20 1985-07-13 エッチエムシー・パテンツ・ホールディング・カンパニー・インコーポレーテッド ポジのホトレジスト用のストリツピング組成物
JPS60203944A (ja) * 1984-03-28 1985-10-15 Mitsubishi Gas Chem Co Inc ポジ型フオトレジストの除去法
US4666824A (en) * 1984-04-23 1987-05-19 Hercules Incorporated Photopolymer process and composition employing a photooxidizable component capable of forming endoperoxides
DE3501675A1 (de) * 1985-01-19 1986-07-24 Merck Patent Gmbh, 6100 Darmstadt Mittel und verfahren zur entfernung von fotoresist- und stripperresten von halbleitersubstraten
US4617251A (en) * 1985-04-11 1986-10-14 Olin Hunt Specialty Products, Inc. Stripping composition and method of using the same
JPH0612455B2 (ja) * 1985-08-10 1994-02-16 長瀬産業株式会社 剥離剤組成物
DE3537441A1 (de) * 1985-10-22 1987-04-23 Hoechst Ag Loesemittel zum entfernen von photoresists
ZA87922B (en) * 1986-02-28 1987-09-30 Macdermid Inc Photoresist stripper composition

Also Published As

Publication number Publication date
US5399464A (en) 1995-03-21
DE3856202T2 (de) 1998-10-22
ATE167310T1 (de) 1998-06-15
DE3856553D1 (de) 2003-03-06
JPH01105949A (ja) 1989-04-24
ATE231987T1 (de) 2003-02-15
HK1012166A1 (en) 1999-07-23
DE3856202D1 (de) 1998-07-16
HK1011882A1 (en) 1999-07-23
JPH10319609A (ja) 1998-12-04
US4824763A (en) 1989-04-25
EP0846984A3 (de) 2000-03-22
EP0846984B1 (de) 2003-01-29
JP2930956B2 (ja) 1999-08-09
EP0846984A2 (de) 1998-06-10
EP0301756B1 (de) 1998-06-10
EP0301756A3 (de) 1990-11-14
KR890003003A (ko) 1989-04-12
JP3044207B2 (ja) 2000-05-22
EP0301756A2 (de) 1989-02-01

Similar Documents

Publication Publication Date Title
DE3856553T2 (de) Wärmebehandlungsverfahren zum Erleichtern der Entfernung eines positiven Photoresists mit Entschichtungslösungen
DE3723402C2 (de)
DE69518682T2 (de) Kronenetherhaltige Entschichtungsmittel
DE69526637T2 (de) Reinigungsmittelzusammensetzung und Verfahren zum Entfernen von Photoresist mit dieser Zusammensetzung
EP0000702B1 (de) Verfahren zur Herstellung einer fliessbeständigen Resistmaske aus strahlungsempfindlichem Resistmaterial
DE2501187C2 (de) Entschichtungsmittel und dessen Verwendung
EP0189752A2 (de) Verfahren zur Entfernung von Fotoresist- und Stripperresten von Halbleitersubstraten
DE112010004081B4 (de) Rezeptur für die Rotationsbeschichtung und Verfahren zum Ablösen eines ionenimplantierten Fotolacks
DE10049831B4 (de) Photoresist-Strippermittel und Verfahren zum Strippen von Photoresistaufträgen unter Verwendung des Mittels
CH619055A5 (de)
KR20000076984A (ko) 포토레지스트 박리조성물 및 포토레지스트 박리방법
DE2447225A1 (de) Verfahren zum loesen von positivem photolack
DE3316041A1 (de) Verfahren zur herstellung von halbleiterbauelementen durch aetzen und aetzmittel
DE69507343T2 (de) Reduzierung des gehaltes an metall-ionen einer lösung in pgmea von novolak-harzen mit einem chelatbildenden ionenaustausch-harz
EP3055401B1 (de) Verwendung von mehrphasensflüssigkeiten zur vernetzten fotoresist-stripping
DE3134158C2 (de) Lichtempfindliches Gemisch zur Trockenentwicklung und Verfahren zur ultrafeinen Musterbildung
DE3828513A1 (de) Abloesemittel fuer fotoresists
EP0220645B1 (de) Strahlungsempfindliches, positiv-arbeitendes Gemisch und hieraus hergestelltes Photoresistmaterial
DE2847764A1 (de) Verfahren zur bildung eines ultrafeinen musters durch uv belichtung
DE2919666C2 (de)
DE2632949C2 (de)
DE3886971T2 (de) Hochkontrastreicher Positiv-Photolack-Entwickler mit Alkanolamin.
DE3337303C2 (de) Verfahren zur photolithographischen Erzeugung von feinen Resistmustern und hierfür verwendbare lichtempfindliche Gemische
DE2951237A1 (de) Verfahren zur behandlung von halbleitersubstraten
EP0111799B1 (de) Verfahren zur Entwicklung von Reliefstrukturen auf der Basis von strahlungsvernetzten Polymervorstufen hochwärmebeständiger Polymere

Legal Events

Date Code Title Description
8364 No opposition during term of opposition