DE3856202T2 - Triamin-Entschichtungslösung für positive Photolacke - Google Patents

Triamin-Entschichtungslösung für positive Photolacke

Info

Publication number
DE3856202T2
DE3856202T2 DE3856202T DE3856202T DE3856202T2 DE 3856202 T2 DE3856202 T2 DE 3856202T2 DE 3856202 T DE3856202 T DE 3856202T DE 3856202 T DE3856202 T DE 3856202T DE 3856202 T2 DE3856202 T2 DE 3856202T2
Authority
DE
Germany
Prior art keywords
photoresist
positive
organic photoresist
semiconductor wafer
diethylenetriamine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE3856202T
Other languages
English (en)
Other versions
DE3856202D1 (de
Inventor
Wai Mun Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Publication of DE3856202D1 publication Critical patent/DE3856202D1/de
Application granted granted Critical
Publication of DE3856202T2 publication Critical patent/DE3856202T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Description

  • Diese Erfindung betrifft eine verbesserte Ablösezusammensetzung (stripping composition) und ein Verfahren zum Entfernen eines ionenimplantierten positiven Photoresists und anderer schwierig zu entfernender Polymerschichten von Substraten Genauer betrifft sie eine solche Zusammensetzung und ein Verfahren, das bei der Entfernung eines jonenimplantierten positiven Photoresits und ähnlicher schwierig zu entfernender Polymerschichten aggressiver ist, ohne am darunterliegenden Substrat eine Schädigung zu verursachen.
  • Ablösezusammensetzungen unter Verwendung verschiedener Aminverbindungen zur Entfernung eines positiven Photoresists von Halbleiter-Wafern, die auf ihrer Oberfläche definierte isolierende und/oder leitfähige Muster aufweisen, wobei das das Photoresist während der Herstellung von integrierten Schaltungen verwendet wird, sind in der Technik bekannt. Zum Beispiel ist Posistrip 830, erhältlich von EKC Technology, Hayward, CA 94540, das aggressivste, kommerziell erhältliche Ablösemittel für ein positives Photoresist. Der Hauptwirkstoff von Posistrip 830 ist 2,(-2-Aminoethoxy)ethanol. Ein weiteres Material, das für diesen Zweck verwendet wird, ist N-Methylpyrrolidon.
  • Bei der Integrationsherstellung in sehr großen Maßstab (VLSI; very large scale integration) wird eine Ionenimplantation verwendet, um Dotierungsatome zu Silicium oder einem anderen Halbleitermaterial zuzugeben, um die Leitfähigkeit des Halbleitermaterlals zu verändern. Beispiele solcher Dotierungsmittel umfassen Donoratome, oder Dotierungsmittel des n-Typs, wie etwa Phosphor, Arsen oder Antimon, und Akzeptoratome, oder Dotierungsmittel des p-Typs, wie etwa Bor, Aluminium oder Gaillum. Die Überlegenheit der Ionenimplantation gegenüber Diffusionsdotierungsverfahren für diesen Zweck hat bewirkt, daß es das Diffusionsdotieren in einer steigenden Anzahl von Anwendungen ersetzt.
  • Um zu verhindern, daß die ionische Spezies in unerwünschte Substratregionen implantiert wird, muß eine geeignete Maskierungsschicht auf der Waferoberfläche vorliegen, mit Öffnungen in den Bereichen, wo eine Implantation gewünscht ist. Viele Materialien werden für solche Maskierungszwecke bei der Herstellung von integrierten Schaltungen verwendet, einschließlich Photoresist, SiO&sub2;, Si&sub3;N&sub4;, Polysilicium, Metallfilme und Polyimid.
  • Eine dünne Schicht von SiO&sub2; von z.B. 200 bis 300 Angström Dicke wird üblicherweise in den Öffnungen zugegeben, um einen Schutzschirm gegen eine Verunreinigung durch Metalle oder andere Verunreinigen während der Implantation bereitzustellen. Wenn dies gemacht wird, werden lmplantationsvorrichtungen mit höherer Energie benötigt, insbesondere für Arsenimplantate, da der implantierende Strahl zunächst die Schirmschicht in den Öffnungen durchdringen muß, während welcher Zeit er Energie verliert, bevor er auf dem Halbleitersubstrat ankommt. Moderne VLSI-Verfahren verwenden Implantationsdosen im Bereich von etwa 10¹¹ bis 10¹&sup6; Ionen/cm². Nach starken Dosen kann es schwierig werden, eine Photoresist-Maskierungsschicht zu entfernen.
  • Als Folge solcher Entfernungsschwierigkeiten ist das häufigste Verfahren zum Entfernen eines ionenimplantierten Photoresists ein Sauerstoffplasma- Verfahren, welches zeitraubend und teuer ist. Kommerziell erhältliche Photoresist-Ablöselösungen, einschließlich der oben erwähnten Posistrip 830 Lösung und des N-Methylpyrrolidons haben sich als nicht geeignet zum Ablösen eines solchen ionenimplantierten Photoresists, insbesondere bei hohen Dosisleveln, erwiesen. Der Stand der Technik der Ionenimplantations- Verfahren wird bei Stanley Wolf und Richard N. Tauber, Silicon Processing for the VLSI Era, Kapitel 9, "Ion Implantation for VLSI", Seiten 280 bis 330 diskutiert, einschließlich der Schwierigkeit des Ablösens eines ionenimplantierten Photoresists.
  • Entsprechend ist es eine Aufgabe dieser Erfindung, eine Ablöselösung und ein Verfahren zum Ablösen eines positiven Photoresists bereitzustellen, die vollständig und zuverlässig ein Photoresist entfernen, das einer Ionenimplantation, insbesondere bei starken Ionenimplantationsdosen ausgesetzt war.
  • Es ist eine weitere Aufgabe der Erfindung, eine solche Ablöselösung und ein Verfahren bereitzustellen, das mit üblicherweise verwendeten, kommerziell erhältlichen positiven Photoresists durchführbar ist.
  • Es ist noch eine weitere Aufgabe der Erfindung, eine solche Ablöselösung und ein Ablöseverfahren für ein ionenimplantiertes positives Photoresist bereitzustellen, das zur Verwendung in moderner VLSI-Verarbeitung geeignet ist.
  • Es ist eine weitere Aufgabe der Erfindung, die Notwendigkeit Sauerstoffplasma-Ausrüstung und -Verfahren zur Entfernung eines ionenimplantierten positiven Photoresists und anderer schwierig zu entfernender Polymermaterialien von Substraten zu verwenden, zu eliminieren.
  • Es ist noch eine weitere Aufgabe der Erfindung ein verbessertes Verfahren zum Entfernen eines positiven Photoresists mit einer Ablöseflüssigkeit bereitzustellen, die das positive Photoresist leichter entfernbar macht.
  • Diese und ähnliche Aufgaben können durch die Verwendung der neuen Ablöselösung und des Verfahrens zum Ablösen eines positiven Photoresists und anderer schwierig zu entfernender polymerer Beschichtungen von Substraten, die hierin offenbart sind, gelöst werden. Ein positives Photoresist gemäß dieser Erfindung weist ein Triamin als seinen Hauptwirkstoff auf.
  • Entsprechend stellt die vorliegende Erfindung eine Zusammensetzung zum Entfernen eines ionenimplantierten positiven organischen Photoresists von einem Substrat bereit, welche ein Triamin in einer Menge von 40 bis 75 Gewichtsprozent und ein polares organisches Lösungsmittel in einer Menge von 25 bis 60 Gewichtsprozent umfaßt, worin das polare organische Lösungsmittel N-Methylpyrrolidon, Dimethylformamid oder ein Gemisch davon ist.
  • Die vorliegende Erfindung stellt auch ein Verfahren zum Ablösen eines positiven organischen Photoresists von einem Substrat bereit, wobei das Verfahren das Inkontaktbringen des positiven Photoresists mit einer Ablösezusammensetzung wie hierin beschrieben zum Entfernen des Photoresists umfaßt. Das positive Photoresist wird mit der Zusammensetzung typischerweise bei einer Temperatur von etwa 80 ºC bis 120 ºC für eine Zeit von etwa einer Minute bis etwa 20 Minuten in Kontakt gebracht.
  • Die vorliegende Erfindung stellt weiterhin ein Herstellungsverfahren für einen integrierten Schaltkreis bereit, welches das Bilden einer positiven organischen Photoresistschicht auf einem Halbleiter-Wafer, Definieren einer Öffnung in der positiven organischen Photoresistschicht, die einer gewünschten Dotierungsfläche in dem Halbleiter-Wafer entspricht, Dotieren des Halbleiter-Wafers durch Ionenimplantation einer Dotierungsverunreinigung in den Halbleiter-Wafer durch die Öffnung in der positiven organischen Photoresistschicht und Ablösen der positiven organischen Photoresistschicht von dem Halbleiter-Wafer mit einer Ablösezusammensetzung wie hierin beschrieben umfaßt.
  • Aufgrund ihrer starken Basizität sind die Triamin-Ablöselösungen dieser Erfindung in der Lage, ein ionenimplantiertes positives Photoresist zu entfernen, selbst wenn starke Dosen für die VLSI-Herstellung verwendet wurden. Gleichzeitig greifen diese Lösungen die bei der Herstellung von integrierten Schaltungen üblicherweise verwendeten Substratmaterialien, einschließlich Silicium, Siliciumdioxid, Siliciumnitrid und Galliumarsenid unter normalen Verarbeitungsbedingungen nicht an.
  • Das Erreichen der vorhergehenden und ähnlicher Aufgaben, die Vorteile und Merkmale der Erfindung werden den Fachleuten leichter ersichtlich nach Durchsicht der folgenden genaueren Beschreibung der Erfindung.
  • Positive Photoresists basieren auf der Verwendung eines Novolak- Matrixharzes und typischerweiser einer Diazochinon-photoaktiven Verbindung oder Sensibilisators. Novolak-Harze sind in üblichen organischen Lösungsmitteln löslich und sind auch löslich in wäßrigen basischen Lösungen aufgrund der Säureeigenschaft ihrer Phenolfunktionalität. Die Diazochinonderivate sind in üblichen organischen Lösungsmitteln löslich, aber sie sind in wäßrigen Basen unlöslich. Nach Behandeln mit Licht gehen diese Substanzen eine Reihe von Reaktionen ein, die in der Bildung einer Indencarbonsäure kulminieren. Das Photoprodukt, ist anders als sein Vorläufer, in wäßrigen Basen aufgrund seiner Carbonsäurefunktionalität äußerst gut löslich. Diese Substanzen reagieren mit Übergangs- und nicht Übergangsmetallen, wie etwa Phosphor, Arsen und Antimon, wobei sie eine ausgedehnte Chemie von Organometallverbindungen bilden. Während einer Ionenimplantation ist das Photoresist einem Hochenergiebeschuß ausgesetzt, was eine ideale Umgebung für die Bildung der organometallischen Verbindungen ist. Diese organometallischen Verbindungen haben verschiedene Löslichkeitseigenschaften, was es schwierig macht, sie in üblichen Lösungsmitteln zu lösen und sie sind auch unempfindlich gegenüber starken Oxidationsmitteln, wie etwa Schwefelsäure.
  • Triamine, die in der Zusammensetzung und dem Verfahren dieser Erfindung verwendbar sind, haben die allgemeine Formel
  • worin R&sub1; und R&sub2; jedesmal unabhängig voneinander eine difunktionelle geradkettige oder verzweigtkettige Kohlenwasserstoff- oder substituierte Kohlenwasserstoffgruppe mit etwa 2 bis etwa 20 Kohlenstoffatomen sind, einschließlich geradkettig und verzweigtkettig, heterocyclisch, aromatisch, Halogen-, Hydroxy- und Cyano-substituierten aliphatischen Gruppen. Geeignete spezifische Beispiele von verwendbaren Triaminen für die Zusammensetzung und das Verfahren dieser Erfindung umfassen Diethylentriamin, 1-Benzyl- und 1-Cyanoethyl-substituiertes Diethylentriamin, 1,2-Dibenzyl-diethylentriamin, Lauryldiethylentriamin, N- (2-Hydroxyethyl)diethylentriamin, N-(2-hydroxypropyl)diethylentriamin u dgl. Das bevorzugte Triamin ist Diethylentriamin. Das polare organische Lösungsmittel für die Zusammensetzung und das Verfahren ist N- Methylpyrrolidon oder Dimethylformamid.
  • Die Lösungsmittel können allein oder als Gemische verwendet werden. Es sollten aufgrund der im Verfahren verwendeten erhöhten Temperaturen Lösungsmittel mit einem hohen Siedepunkt verwendet werden.
  • Der Zusammensetzungsbereich beträgt von etwa 40 bis etwa 75 Gewichtsprozent des Triamins und von etwa 60 bis etwa 25 Gewichtprozent des organischen Lösungsmittels, wobei etwa 50 Gewichtsprozent jedes Bestandteils besonders bevorzugt sind.
  • Es ist bevorzugt, das mit der Zusammensetzung und dem Verfahren dieser Erfindung abzulösende Photoresist mit Ultraschallenergie zu behandeln, bringt, um die vollständige Entfernung des Photoresists, insbesondere nach Behandeln des Photoresists mit höheren Dosisniveaus einer Ionenimplantation, zu unterstützen. Herkömmliche Bedingungen für die Anwendung der Ultraschallenergie werden für diesen Zweck verwendet, wie etwa durch die Verwendung eines Ultraschalltransducers, der bei einer Frequenz von etwa 30 bis 50 MHz und einer Leistung von etwa 0,1 bis etwa 2,5 Watt/Zoll³ betrieben wird, der extern an einem Behälter angebracht ist, der die Photoresist-beschichteten Wafer und die Triamin- Ablösezusammensetzung enthält.
  • Die Zusammensetzung und das Verfahren dieser Erfindung sind zur Verwendung mit allen üblicherweise eingesetzten Photoresists, die in der Halbleiterindustrie verwendet werden, geeignet. Beispiele von geeigneten positiven Photoresists umfassen Shipley 1325 und 1822 Resists, MacDermid EPA 914 Resist, Kodak 820 und 825 Resists und Dyna-Chem Thiokol OFPR-800 Resist u.dgl.
  • Die folgenden nicht beschränkenden Beispiele stellen bevorzugte Formen und von dem Erfinder zur Durchführung dieser Erfindung in Betracht gezogene beste Ausführungsformen dar, sowie eine Veranschaulichung der durch ihre Verwendung erhaltenen Ergebnisse.
  • BEISPIEL 1
  • Siliciumdioxid-beschichtete Silicium-Wafer wurden mit Hexamethyldisilazan (HMDS) grundiert und kommerziell erhältliche Kodak 825, OFPR-800, Shipley 1375 und 1822 und MacDermid 914 Photoresists wurden aufgesponnen und bei 150 ºC für 30 Minuten gemäß den Instruktionen jedes Photoresist-Lieferanten gebrannt. Die Photoresist-beschichteten Wafer wurden mit Arsen bei Energieniveaus von 80, 100, 120 und 150 KeV bei Dosisniveaus von 1 x 10¹&sup0; und 1 x 10¹&sup6; Ionen/cm² implantiert. Das Photoresist wurde dann in 40 und 15 Gew.-%-igen Lösungen von Diethylentriamin in N-Methylpyrrolidon unter Verwendung der folgenden Vorgehensweise abgelöst: Die Wafer wurden bei 180 ºC für 50 Minuten vorgebrannt. 600 ml der Diethylentriamin-Ablöselösungen wurden in ein Becherglas gegeben und auf 90 ± 5 ºC erwärmt. Die Wafer wurden in die Ablöselösung für 2 bis 5 Minuten gegeben, für 5 Minuten in eine Vorratsspülvorrichtung mit deionisiertem Wasser übertragen und optisch auf Photoresist-Rückstände inspiziert, was die in Tabelle 1 gezeigten Ergebnisse ergab. Für Vergleichszwecke wurden gemäß der obigen Vorgehensweise hergestellte Wafer auch mit einer kommerziell erhältlichen Posistrip 830 Diglykolamin-Lösung abgelöst. Tabelle 1
  • Die obigen Ergebnisse zeigen, daß die Diethylentriaminlösungen erfolgreich die Photoresists bei Ionenimplantations-Dosisniveaus von 1 x 10¹&sup0; Ionen/cm² durch Auflösen des Photoresists ablösten und eine unvollständige Photoresistentfernung bei Ionenimplantations-Dosisniveaus von 1 x 10¹&sup6; Ionen/cm² ergaben. Mit der Diglykolaminlösung gelang es bei beiden Dosisgehalten nicht, das Photoresist zu entfernen.
  • BEISPIEL 2
  • Die Vorgehensweise von Beispiel 1 wurde wiederholt, aber mit bei Dosisniveaus von 1 x 10¹&sup6; Ionen/cm² Arsen-ionenimplantierten Wafers, einer Ablöselösungstemperatur von 100 ± 5 ºC, einer Ablösezeit von 1 bis 5 Minuten und mit den erwärmten Ablöselösungen in einem Ultraschallbad mit einem externen Ultraschalltransducer, der bei 40 MHz und einem Leistungsniveau von 0,2 Watt/Zoll³ betrieben wurde. Die erhaltenen Ergebnisse sind unten in Tabelle II gezeigt. Tabelle II
  • Die obigen Ergebnisse zeigen, daß die Diethylentriaminlösungen erfolgreich die Photoresists bei dem starken Ionenimplantationsdosisniveau durch ein Abhebeverfahren ablösten, daß aber die Diglykolaminlösung wiederum nicht in der Lage war, die Photoresists zu entfernen.
  • BEISPIEL 3
  • Die Diethylentriaminlösungen wie in Beispiel 1 wurden verwendet, um Photoresist-beschichtete Wafer, wie in Beispiel 1 hergestellt, abzulösen, aber ohne Vorbrennen des Photoresists auf den Wafern und mit N- Methylpyrrolidon, das alleine als Vergleichsablösemittel verwendet wurde. 600 ml der Diethylentriamin-Ablöselösungen wurden in ein Becherglas gegeben und auf 110 ºC erwärmt. Die Wafer wurden in die Ablöselösung für 5 Minuten gegeben, in eine Vorratsspülvorrichtung mit deionisiertem Wasser oder eine Sprühspülvorrichtung für 5 Minuten übertragen und optisch auf Photoresistrückstände inspiziert. Die Diethylentriaminlösungen lösten die Photoresists bei Ionenimplantations-Dosisniveaus von 1 x 10¹&sup0; Ionen/cm² durch Lösen des Photoresists erfolgreich auf und ergaben eine 65 %-ige Photoresistentfernung bei Ionenimplantations-Dosisniveaus von 1 x 10¹&sup6; Ionen/cm². Mit der Verwendung von N-Methylpyrrolidon allein wurde nur eine 45 %-ige Photoresistentfernung bei beiden Dosisniveaus erhalten.
  • BEISPIEL 4
  • Die Diethylentriaminlösungen wie in Beispiel 1 wurden verwendet, um Photoresist-beschichte Wafer, wie in Beispiel 1 hergestellt, abzulösen, aber ohne Vorbrennen des Photoresists auf den Wafern, mit dem Anlegen von Ultraschallenergie während des Photoresistablösens unter Verwendung der folgenden Vorgehensweise. Eine Menge von 2 Gallonen Diethylentriamin- Ablöselösungen wurde in einen fünf Gallonen-Behälter mit einem externen Ultraschalltransducer gegeben und auf 110 ºC erwärmt. Die Wafer wurden in die Ablöselösung für 5 Minuten gegeben, wobei der externe Ultraschalltransducer bei 40 MHz und einem Leistungsniveau von 0,7 Watt/Zoll³ betrieben wurde. Die Wafer wurden dann in eine Vorratsspülvorrichtung mit deionisiertem Wasser oder eine Sprühspülvorrichtung für 5 Minuten übertragen und optisch auf Photoresistrückstände inspiziert. Die Diethylentriaminlösungen lösten die Photoresists bei Ionenimplantations-Dosisniveaus von 1 x 10¹&sup0; Ionen/cm² durch Lösen des Photoresists erfolgreich auf und bildeten eine 80 %-ige Photoresistentfernung bei Ionenimplantations-Dosisniveaus von 1 x 10¹&sup6; Ionen/cm². Eine 100 %-ige Entfernung des Photoresists wurde bei dem höheren Dosisniveau durch Erhöhen der Ablösezeit auf 30 Minuten erhalten.
  • BEISPIEL 5
  • Die Diethylentriamin-Lösungen, wie in Beispiel 1, wurden verwendet, um Photoresist-beschichte Wafer, hergestellt wie in Beispiel 1, abzulösen, einschließlich des Vorbrennschrittes, aber ohne die Anwendung von Ultraschallenergie, unter Verwendung der folgenden Vorgehensweise. Eine Menge von 600 ml der Diethylentriaminlösung wurde in ein Becherglas gegeben und auf 110 ºC erwärmt. Die Wafer wurden in die Ablöselösung für 5 Minuten gegeben, in eine Vorratsspülvorrichtung mit deionisiertem Wasser oder eine Sprühspülvorrichtung für 5 Minuten übertragen und optisch auf Photoresistrückstände inspiziert. Die Ergebnisse zeigten, daß die Diethylentriaminlösungen die Photoresists bei Ionenimplantations- Dosisniveaus von 1 x 10¹&sup0; Ionen/cm² durch Auflösen der Photoresists erfolgreich ablösten und eine 75 %-ige Photoresistentfernung bei dem höheren Ionenimplantations-Dosisniveau ergaben.
  • BEISPIEL 6
  • Die Diethylentriaminlösungen, wie in Beispiel 1, wurden verwendet, um Photoresist-beschichtete Wafer, hergestellt wie in Beispiel 1, abzulösen, einschließlich des Vorbrennschritts, mit Anlegen von Ultraschallenergie während des Photoresistablösens und mit N-Methylpyrrolidon alleine, das als Vergleichsablösemittel verwendet wurde, unter Verwendung der folgenden Vorgehensweise. Eine Menge von 2 Gallonen Diethylentriamin- Ablöselösungen wurden in einen fünf Gallonen-Behälter mit einem externen Ultraschalltransducer gegeben und auf 110 ºC erwärmt. Die Wafer wurden in die Ablöselösung für 5 Minuten gegeben, wobei der externe Ultraschalltransducer bei 40 MHz und einem Leistungsniveau von 0,7 Watt/Zoll³ betrieben wurde. Die Wafer wurden dann in eine Vorratsspülvorrichtung mit deionisiertem Wasser oder eine Sprühspülvorrichtung für 5 Minuten übertragen und optisch auf Photoresistrückstände inspiziert. Die Diethylentriaminlösungen lösten die Photoresists bei Ionenimplantations-Dosisniveaus von 1 x 10¹&sup0; Ionen/cm² durch Auflösen der Photoresists erfolgreich auf und bildeten eine 100 %-ige Photoresistentfernung bei Ionenimplantations-Dosisniveaus von 1 x 10¹&sup6; Ionen/cm². Die Diethylentriaminlösungen lösten die Photoresists erfolgreich bei dem höheren Ionenimplantations-Dosisniveau durch einen Abhebevorgang ab, aber N-Methylpyrrolidon bildete ein unvollständiges Photoresistentfernen bei beiden Dosisniveaus.
  • BEISPIEL 7
  • Wafer, hergestellt gemäß der Vorgehensweise von Beispiel 1, aber ionenimplantiert mit Bor bei 150 KeV und einem Dosisniveau von 1 x 10¹&sup5; Ionen/cm², wurden in einer Lösung bestehend aus 75 Gew.-% Diethylentriamin in Dimethylformamid abgelöst, unter Verwendung der Ablösevorgehensweise von Beispiel 6. Die Ergebnisse zeigten ein 100 %- iges Entfernen der Photoresists innerhalb von 5 Minuten Ablösezeit.
  • Eine Substitution von anderen Triaminen in den Vorgehensweisen der obigen Beispiele ergibt gleiche, vorteilhafte Ergebnisse.
  • Es wird nun den Fachleuten leicht ersichtlich sein, daß eine neue Photoresist-Ablösezusammensetzung und ein Verfahren bereitgestellt wurden, die in der Lage sind, die angegebenen Aufgaben der Erfindung zu lösen. Die Triaminlösung und das Verfahren entfernen vollständig ionenimplantierte positive Photoresists von Halbleiterwafern ohne die Wafer anzugreifen, selbst bei hohen Ionenimplantations-Dosisniveaus. Die Zusammensetzung und das Verfahren sind zur Verwendung mit den üblicherweise eingesetzten positiven Photoresists geeignet, die in der VLSI- Herstellung für integrierte Schaltungen verwendet werden.

Claims (10)

1. Zusammensetzung zum Entfernen eines Ionenimplantierten positiven organischen Photoresists von einem Substrat, welche ein Triamin in einer Menge von 40 bis 75 Gewichtsprozent und ein polares organisches Lösungsmittel in einer Menge von 25 bis 60 Gewichtsprozent umfaßt, worin das polare organische Lösungsmittel N-Methylpyrrolidon, Dimethylformamid oder ein Gemisch davon ist.
2. Zusammensetzung nach Anspruch 1, worin das Triamin Diethylentriamin ist.
3. Zusammensetzung nach Anspruch 1, worin das Triamin 1-Benzyl- oder 1-Cyanoethyl-substituiertes Diethylentriamin, 1,2- Dibenzyldiethylentriamin, Lauryldiethylentriamin, N-(2- Hydroxyethyl)diethylentriamin oder N-(2- Hydroxypropyl)diethylentriamin ist.
4. Zusammensetzung nach einem der Ansprüche 1 bis 3, worin das Triamin und das polare organische Lösungsmittel jeweils in einer Menge von etwa 50 Gewichtsprozent vorliegen.
5. Verfahren zum Ablösen eines positiven organischen Photoresist von einem Substrat, wobei das Verfahren umfaßt Inkontaktbringen des positiven organischen Photoresists mit einer Zusammensetzung nach einem der vorhergehenden Ansprüche, um das positive organische Photoresist vom Substrat zu entfernen.
6. Verfahren nach Anspruch 5, worin das positive organische Photoresist mit der Zusammensetzung bei einer Temperatur im Bereich von 80 ºC bis 120 ºC für eine Zeitdauer von 1 Minute bis 20 Minuten in Kontakt gebracht wird.
7. Verfahren nach Anspruch 5 oder 6, worin das Substrat ein Halbleiter- Wafer ist.
8. Herstellungsverfahren für einen integrierten Schaltkreis, umfassend Bilden einer positiven organischen Photoresistschicht auf einem Halbleiter-Wafer, Definieren einer Öffnung in der positiven organischen Photoresist-Schicht, die einer gewünschten Dotierungsfläche in dem Halbleiter-Wafer entspricht, Dotieren des Halbleiter-Wafers durch Ionenimplantation einer Dotierungsverunreinigung in den Halbleiter-Wafer durch die Öffnung in der positiven organischen Photoresistschicht und Ablösen der positiven organischen Photoresistschicht von dem Halbleiter-Wafer mit einer Zusammensetzung nach einem der Ansprüche 1 bis 5.
9. Herstellungsverfahren für einen integrierten Schaltkreis nach Anspruch 8, worin die positive organische Photoresistschicht von dem Halbleiter-Wafer durch Inkontaktbringen des Halbleiter-Wafers mit der Zusammensetzung bei einer Temperatur im Bereich von 80 ºC bis 1 20 ºC für eine Dauer von 1 Minute bis 20 Minuten abgelöst wird.
10. Herstellungsverfahren für einen integrierten Schaltkreis nach Anspruch 8 oder 9, weiterhin umfassend Behandeln des Halbleiter- Wafers mit Ultraschallschwingungen, während die positive organische Photoresistschicht mit der Zusammensetzung abgelöst wird.
DE3856202T 1987-07-30 1988-07-20 Triamin-Entschichtungslösung für positive Photolacke Expired - Fee Related DE3856202T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/079,714 US4824763A (en) 1987-07-30 1987-07-30 Triamine positive photoresist stripping composition and prebaking process

Publications (2)

Publication Number Publication Date
DE3856202D1 DE3856202D1 (de) 1998-07-16
DE3856202T2 true DE3856202T2 (de) 1998-10-22

Family

ID=22152327

Family Applications (2)

Application Number Title Priority Date Filing Date
DE3856553T Expired - Lifetime DE3856553T2 (de) 1987-07-30 1988-07-20 Wärmebehandlungsverfahren zum Erleichtern der Entfernung eines positiven Photoresists mit Entschichtungslösungen
DE3856202T Expired - Fee Related DE3856202T2 (de) 1987-07-30 1988-07-20 Triamin-Entschichtungslösung für positive Photolacke

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE3856553T Expired - Lifetime DE3856553T2 (de) 1987-07-30 1988-07-20 Wärmebehandlungsverfahren zum Erleichtern der Entfernung eines positiven Photoresists mit Entschichtungslösungen

Country Status (7)

Country Link
US (2) US4824763A (de)
EP (2) EP0846984B1 (de)
JP (2) JP2930956B2 (de)
KR (1) KR890003003A (de)
AT (2) ATE231987T1 (de)
DE (2) DE3856553T2 (de)
HK (2) HK1011882A1 (de)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4968582A (en) * 1988-06-28 1990-11-06 Mcnc And University Of Nc At Charlotte Photoresists resistant to oxygen plasmas
US5114827A (en) * 1988-06-28 1992-05-19 Microelectronics Center Of N.C. Photoresists resistant to oxygen plasmas
US5102777A (en) * 1990-02-01 1992-04-07 Ardrox Inc. Resist stripping
US6187730B1 (en) 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US6000411A (en) * 1990-11-05 1999-12-14 Ekc Technology, Inc. Cleaning compositions for removing etching residue and method of using
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US20040018949A1 (en) * 1990-11-05 2004-01-29 Wai Mun Lee Semiconductor process residue removal composition and process
US6546939B1 (en) 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US6121217A (en) 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US6242400B1 (en) 1990-11-05 2001-06-05 Ekc Technology, Inc. Method of stripping resists from substrates using hydroxylamine and alkanolamine
US6492311B2 (en) 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US7205265B2 (en) 1990-11-05 2007-04-17 Ekc Technology, Inc. Cleaning compositions and methods of use thereof
DE69333877T2 (de) * 1992-07-09 2006-06-14 Ekc Technology Inc Reinigungsmittelzusammensetzung, das einem Redox Aminverbindung enthält
JP2688168B2 (ja) 1992-11-03 1997-12-08 インターナショナル・ビジネス・マシーンズ・コーポレイション フォトレジストイメージ形成プロセス
DE69322946T2 (de) * 1992-11-03 1999-08-12 International Business Machines Corp., Armonk, N.Y. Photolackzusammensetzung
JPH06204162A (ja) * 1992-12-28 1994-07-22 Mitsubishi Electric Corp 半導体装置の製造方法および該方法に用いられるレジスト組成物
JP2823768B2 (ja) * 1993-02-22 1998-11-11 松下電器産業株式会社 半導体装置の製造方法
US7144849B2 (en) * 1993-06-21 2006-12-05 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5597678A (en) * 1994-04-18 1997-01-28 Ocg Microelectronic Materials, Inc. Non-corrosive photoresist stripper composition
US5545353A (en) * 1995-05-08 1996-08-13 Ocg Microelectronic Materials, Inc. Non-corrosive photoresist stripper composition
US5472830A (en) * 1994-04-18 1995-12-05 Ocg Microelectronic Materials, Inc. Non-corrosion photoresist stripping composition
KR0154164B1 (ko) * 1994-07-11 1998-12-01 김주용 반도체소자의 제조방법
US5670376A (en) * 1994-12-14 1997-09-23 Lucent Technologies Inc. Methodology for monitoring solvent quality
US5561105A (en) * 1995-05-08 1996-10-01 Ocg Microelectronic Materials, Inc. Chelating reagent containing photoresist stripper composition
US5507978A (en) * 1995-05-08 1996-04-16 Ocg Microelectronic Materials, Inc. Novolak containing photoresist stripper composition
US5612304A (en) * 1995-07-07 1997-03-18 Olin Microelectronic Chemicals, Inc. Redox reagent-containing post-etch residue cleaning composition
US5648324A (en) * 1996-01-23 1997-07-15 Ocg Microelectronic Materials, Inc. Photoresist stripping composition
US5665688A (en) * 1996-01-23 1997-09-09 Olin Microelectronics Chemicals, Inc. Photoresist stripping composition
US6511547B1 (en) 1996-01-30 2003-01-28 Siliconvalley Chemlabs, Inc. Dibasic ester stripping composition
US5741368A (en) * 1996-01-30 1998-04-21 Silicon Valley Chemlabs Dibasic ester stripping composition
US5909744A (en) * 1996-01-30 1999-06-08 Silicon Valley Chemlabs, Inc. Dibasic ester stripping composition
US5904570A (en) * 1996-05-20 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for polymer removal after etching
US5780406A (en) * 1996-09-06 1998-07-14 Honda; Kenji Non-corrosive cleaning composition for removing plasma etching residues
US5817610A (en) * 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5759973A (en) * 1996-09-06 1998-06-02 Olin Microelectronic Chemicals, Inc. Photoresist stripping and cleaning compositions
US5798323A (en) * 1997-05-05 1998-08-25 Olin Microelectronic Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6268323B1 (en) 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6432209B2 (en) * 1998-03-03 2002-08-13 Silicon Valley Chemlabs Composition and method for removing resist and etching residues using hydroxylazmmonium carboxylates
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6368421B1 (en) 1998-07-10 2002-04-09 Clariant Finance (Bvi) Limited Composition for stripping photoresist and organic materials from substrate surfaces
US20020132491A1 (en) * 1998-12-31 2002-09-19 John E. Lang Method of removing photoresist material with dimethyl sulfoxide
JP3393082B2 (ja) * 1999-04-02 2003-04-07 東京エレクトロン株式会社 現像方法および現像装置
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US6413923B2 (en) 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
WO2002003143A2 (en) 2000-06-29 2002-01-10 Huntsman Petrochemical Corporation Alkylene carbonate-based photoresist stripping compositions
US6764551B2 (en) * 2001-10-05 2004-07-20 International Business Machines Corporation Process for removing dopant ions from a substrate
JP4314320B2 (ja) * 2002-04-10 2009-08-12 三菱電機株式会社 化合物半導体装置の製造方法
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
TW200404829A (en) * 2002-08-19 2004-04-01 Rohm & Haas Resin cleaning method
US20040157759A1 (en) * 2003-02-07 2004-08-12 Buckeye International, Inc. Stripper formulations and process
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
US7395717B2 (en) * 2006-02-10 2008-07-08 Milliken & Company Flexible capacitive sensor
EP1903400A1 (de) * 2006-09-20 2008-03-26 Interuniversitair Microelektronica Centrum Verfahren zur Entfernung von Fotolackschichten von einem Substrat
TW200927998A (en) * 2007-09-06 2009-07-01 Ekc Technology Inc Compositions and method for treating a copper surface
EP2254980B2 (de) * 2008-02-21 2016-11-30 S.C. Johnson & Son, Inc. Reinigungszusammensetzung mit hoher selbstadhäsion und vorteilhafter nachwirkung
US9410111B2 (en) 2008-02-21 2016-08-09 S.C. Johnson & Son, Inc. Cleaning composition that provides residual benefits
US9481854B2 (en) 2008-02-21 2016-11-01 S. C. Johnson & Son, Inc. Cleaning composition that provides residual benefits
EP2167627A1 (de) * 2008-02-21 2010-03-31 S.C.Johnson & Son, Inc Reinigungszusammensetzung mit vorteilhafter nachwirkung
US8143206B2 (en) * 2008-02-21 2012-03-27 S.C. Johnson & Son, Inc. Cleaning composition having high self-adhesion and providing residual benefits
US8993502B2 (en) 2008-02-21 2015-03-31 S. C. Johnson & Son, Inc. Cleaning composition having high self-adhesion to a vertical hard surface and providing residual benefits
US8980813B2 (en) 2008-02-21 2015-03-17 S. C. Johnson & Son, Inc. Cleaning composition having high self-adhesion on a vertical hard surface and providing residual benefits
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
DE202009016945U1 (de) 2009-12-15 2010-03-18 Cognis Ip Management Gmbh Reinigungsmittel für Elektronikbauteile
CN104423182B (zh) * 2013-08-29 2019-05-10 得凯莫斯公司弗罗里达有限公司 正型光阻剥除剂
JP6536464B2 (ja) * 2016-04-26 2019-07-03 信越化学工業株式会社 洗浄剤組成物及び薄型基板の製造方法
US12051589B2 (en) 2016-06-28 2024-07-30 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR102209389B1 (ko) * 2016-09-26 2021-01-28 주식회사 엘지화학 포토레지스트 제거용 스트리퍼 폐액의 정제 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
WO2019182872A1 (en) 2018-03-19 2019-09-26 Lam Research Corporation Chamfer-less via integration scheme
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
KR20210135004A (ko) 2020-01-15 2021-11-11 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
WO2022173632A1 (en) * 2021-02-12 2022-08-18 Lam Research Corporation Quantum efficient photoresists and methods thereof
CN117872693B (zh) * 2024-03-13 2024-07-12 深圳市松柏科工股份有限公司 正胶剥离液、正胶剥离液的制备方法及其应用

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK130078C (de) * 1967-12-14
US4072528A (en) * 1972-09-27 1978-02-07 E. I. Du Pont De Nemours And Company Oxygen barrier layers for photopolymerizable elements
US4078102A (en) * 1976-10-29 1978-03-07 International Business Machines Corporation Process for stripping resist layers from substrates
CH636121A5 (de) * 1977-03-18 1983-05-13 Schaefer Chemisches Inst Ag Metall-ionen-, phosphat- und enzym-freies reiniger-konzentrat.
US4403029A (en) * 1982-09-02 1983-09-06 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4401748A (en) * 1982-09-07 1983-08-30 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
JPS59134891A (ja) * 1983-01-21 1984-08-02 メック株式会社 プリント基板洗浄液
JPS6096695A (ja) * 1983-10-31 1985-05-30 三洋化成工業株式会社 洗浄剤組成物
JPS60131535A (ja) * 1983-12-20 1985-07-13 エッチエムシー・パテンツ・ホールディング・カンパニー・インコーポレーテッド ポジのホトレジスト用のストリツピング組成物
JPS60203944A (ja) * 1984-03-28 1985-10-15 Mitsubishi Gas Chem Co Inc ポジ型フオトレジストの除去法
US4666824A (en) * 1984-04-23 1987-05-19 Hercules Incorporated Photopolymer process and composition employing a photooxidizable component capable of forming endoperoxides
DE3501675A1 (de) * 1985-01-19 1986-07-24 Merck Patent Gmbh, 6100 Darmstadt Mittel und verfahren zur entfernung von fotoresist- und stripperresten von halbleitersubstraten
US4617251A (en) * 1985-04-11 1986-10-14 Olin Hunt Specialty Products, Inc. Stripping composition and method of using the same
JPH0612455B2 (ja) * 1985-08-10 1994-02-16 長瀬産業株式会社 剥離剤組成物
DE3537441A1 (de) * 1985-10-22 1987-04-23 Hoechst Ag Loesemittel zum entfernen von photoresists
ZA87922B (en) * 1986-02-28 1987-09-30 Macdermid Inc Photoresist stripper composition

Also Published As

Publication number Publication date
DE3856553T2 (de) 2004-02-19
ATE231987T1 (de) 2003-02-15
EP0846984A3 (de) 2000-03-22
DE3856553D1 (de) 2003-03-06
EP0846984A2 (de) 1998-06-10
US4824763A (en) 1989-04-25
US5399464A (en) 1995-03-21
EP0301756B1 (de) 1998-06-10
EP0846984B1 (de) 2003-01-29
KR890003003A (ko) 1989-04-12
EP0301756A2 (de) 1989-02-01
HK1012166A1 (en) 1999-07-23
JP3044207B2 (ja) 2000-05-22
DE3856202D1 (de) 1998-07-16
JPH01105949A (ja) 1989-04-24
JP2930956B2 (ja) 1999-08-09
HK1011882A1 (en) 1999-07-23
EP0301756A3 (de) 1990-11-14
JPH10319609A (ja) 1998-12-04
ATE167310T1 (de) 1998-06-15

Similar Documents

Publication Publication Date Title
DE3856202T2 (de) Triamin-Entschichtungslösung für positive Photolacke
EP0189752B1 (de) Verfahren zur Entfernung von Fotoresist- und Stripperresten von Halbleitersubstraten
DE69029586T2 (de) Photolackentferner
DE69521147T2 (de) Reduktion des metallionensgehaltes in novolakharzen mit einem ionenaustauschharz in einem polaren lösungsmittel, und photoresistzusammensetzungen mit diesen novolaken
DE2501187C2 (de) Entschichtungsmittel und dessen Verwendung
EP0000702B1 (de) Verfahren zur Herstellung einer fliessbeständigen Resistmaske aus strahlungsempfindlichem Resistmaterial
DE3723402A1 (de) Verfahren zum spuelen eines substrats
DE112010004081B4 (de) Rezeptur für die Rotationsbeschichtung und Verfahren zum Ablösen eines ionenimplantierten Fotolacks
CH619055A5 (de)
DE69308326T2 (de) Verfahren zur herstellung eines entwicklers mit niedrigem metallionengehalt
DE2447225A1 (de) Verfahren zum loesen von positivem photolack
DE3316041A1 (de) Verfahren zur herstellung von halbleiterbauelementen durch aetzen und aetzmittel
DE69611837T2 (de) Reduktion des metallionengehaltes in fotoresist-formulierungen, mit chelataustauscherharzen
DE3831574A1 (de) Entfernerloesung fuer resists
DE69507343T2 (de) Reduzierung des gehaltes an metall-ionen einer lösung in pgmea von novolak-harzen mit einem chelatbildenden ionenaustausch-harz
DE10237042A1 (de) Zusammensetzung und Verfahren zur Resistentfernung
DE2413792A1 (de) Verfahren zum behandeln von galliumhaltiger verbindungshalbleiter
EP3055401A1 (de) Fotoresist-stripping mit intelligenten flüssigkeiten
EP0220645B1 (de) Strahlungsempfindliches, positiv-arbeitendes Gemisch und hieraus hergestelltes Photoresistmaterial
DE2001339A1 (de) Verfahren zur Erhoehung der Adhaesion von Photolacken
DE69330965T2 (de) Verwendung von lewis-basen zur molekulargewichtskontrolle von novolakharzen
DE69904223T2 (de) Wasserlösliche positiv arbeitende photoresistzusammensetzung
DE2919666C2 (de)
DE69430960T2 (de) Entwickler für positiv arbeitenden Elektronenstrahlresist
DE2632949A1 (de) Ausstreifloesung zur entfernung polymerer organischer substanzen von einem anorganischen substrat

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee