DE2910543C2 - Schaltungsanordnung für die Durchführung von arithmetischen Operationen - Google Patents

Schaltungsanordnung für die Durchführung von arithmetischen Operationen

Info

Publication number
DE2910543C2
DE2910543C2 DE2910543A DE2910543A DE2910543C2 DE 2910543 C2 DE2910543 C2 DE 2910543C2 DE 2910543 A DE2910543 A DE 2910543A DE 2910543 A DE2910543 A DE 2910543A DE 2910543 C2 DE2910543 C2 DE 2910543C2
Authority
DE
Germany
Prior art keywords
line
signal
counter
input
signals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE2910543A
Other languages
English (en)
Other versions
DE2910543A1 (de
Inventor
Carl Frederick San Jose Calif. Barnhart
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE2910543A1 publication Critical patent/DE2910543A1/de
Application granted granted Critical
Publication of DE2910543C2 publication Critical patent/DE2910543C2/de
Expired legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06JHYBRID COMPUTING ARRANGEMENTS
    • G06J1/00Hybrid computing arrangements
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M1/00Analogue/digital conversion; Digital/analogue conversion
    • H03M1/66Digital/analogue converters
    • H03M1/82Digital/analogue converters with intermediate conversion to time interval
    • H03M1/822Digital/analogue converters with intermediate conversion to time interval using pulse width modulation
    • H03M1/827Digital/analogue converters with intermediate conversion to time interval using pulse width modulation in which the total pulse width is distributed over multiple shorter pulse widths

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Automation & Control Theory (AREA)
  • Evolutionary Computation (AREA)
  • Fuzzy Systems (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Computer Hardware Design (AREA)
  • Analogue/Digital Conversion (AREA)
  • Manipulation Of Pulses (AREA)
  • Complex Calculations (AREA)

Description

Die Erfindung betrifft eine Schaltungsanordnung für die Durchführung von arithmetischen Operationen an mit beliebigen Vorzeichen versehenen über Eingangsleitungen zugeführten digitalen Eingangssignalen bei gleichzeitiger Umwandlung des Resultatsignals in ein analoges Ausgangssignal fester Amplitude, mit einer dem Resultatsignal entsprechenden Dauer innerhalb jeder Periode und damit mit einem von den verarbeiteten digitalen Eingangssignalen abhängigen Tastverhältnis, unter Verwendung einer durch Taktimpulse eines Taktimpulsgenerators angesteuerten, entsprechend den digitalen Eingangssignalen fortschaltbaren Zähleinrichtung.
Die Art der Darstellung einer Zahl kann z. B. von einer Anzahl von binär codierten Signalen in ein lineares Analogsignal entweder durch direkte Digital/Analog-Umwandlung oder durch indirekte D/A-Umwandlung geändert werden. Die direkte Digital/Analog-Umwandlung, die gebräuchlicher ist, verwendet eine feste Bezugsspannung und liefert eine Ausgangsspannung, die Teil dieser Bezugsspannung ist und eine lineare Beziehung zu der dargestellten Zah! aufweist, die durch veränderliche, binär codierte Eingangssignale dargestellt wird. Die indirekte Digital/Analog-Umwandlung verwendet ein binäres Signal mit einer festliegenden Periode und liefert ein binäres codiertes, ternäres Analog-Ausgangssignal mit dieser festen Periode, dessen Tastverhältnis (d. h. der Bruchteil der Periode, in der das Ausgangssignal von Null verschieden ist), eine lineare Beziehung mit der durch veränderliche, binär codierte Eingangssignale dargestellten Zahl aufweist. Im allgemeinen ist eine Analog-Schaltung, der das Ausgangssignal einer indirekten Digital/Analog-Umwandlung zugeführt wird, nicht in der Lage, auf Veränderungen des binär codierten, ternären Analogsignals innerhalb der Periode des Signals anzusprechen. Eine solche Schaltung spricht vielmehr auf den Durchschnittswert des Signals über viele Perioden an, d. h. den Durchschnitt des Tastverhältnisses über diese Perioden.
Beschreibung des Standes der Technik
Der einzige, derzeit der Anmelderin bekannte Stand der Technik ist der US-Patentschrift 37 86 488 zu entnehmen, wo eine Signalumwandlungsschaltung offenbart ist, die ein Ausgangssignal mit analogen Kennwerten erzeugt, die mit der algebraischen Summe der numerischen Werte schwankt, die durch eine Anzahl von veränderlichen, binär codierten Eingangssignalen dargestellt wird. Diese Schaltung wandelt jedoch ein digitales Signal in einen Frequenzwert um and kann jedenfalls solche digitalen Zahlen nicht verarbeite·; deren Vorzeichen nicht zuvor bekannt ist Im Gegensatz dazu ist die noch zu beschreibende Schaltungsanordnung der Anmelderin in der Lage, digitale Eingangssignale in ein Signal einer konstanten Frequenz und einer festen Periode umzuwandeln, jedoch mit einem Tastverhältnis, dessen Dauer den digitalen Eingangssignalen proportional ist, und kann außerdem digitale Zahlen mit willkürlich zugeordneten Vorzeichen verarbeiten.
Die US-Patentschrift 36 46 545 offenbart die Grundschaltung fü- eine indirekte Digital/Analog-Umwandlung, die jedoch in einer besonderen und von der Ausführungsform der Anmelderin verschiedenen Art aufgebaut ist. Dort ist jedoch keine Schaltung zur Durchführung arithmetischer Operationen offenbart.
Die US-Patentschrift 34 47 149 offenbart eine Schalrung zur Erzeugung eines Analogsignals mit konstanter Frequenz und variablem Tastverhältnis und verwendet zwei Binärzähler. In Abhängigkeit von einem, digitalen Impulszug wird das Tastverhältnis erhöht oder verringert. Es ist jedoch nicht möglich, damit eine aus mehreren Bits bestehende Digitalzahl umzusetzen, und die Schaltung kann somit keine arithmetischen Operationen mit mehreren aus einer Anzahl von Bits bestehenden Digitalzahlen durchführen.
Aufgabe
Durch die Erfindung soii nun eine Schaltungsanordnung geschaffen werden, die ein analoges Aüsgangssignal vorbestimmter Amplitude und fester Periode liefert, deren Tastverhältnis proportional der algebraischen Summe, dem algebraischen Produkt oder Quotienten einer Anzahl aus vielen Bits bestehenden mit beliebigem Vorzeichen versehenen, veränderlichen codierten digitalen Eingangssignalen proportional ist. wobei die Eingangs- und Ausgangssignale numerische Werte darstellen.
Dabei sollen diese arithmetischen Funktionen gleichzeitig mit der Änderung in der Darsteliungsweise der numerischen Werte einer Anzahl von binär codierten, digitalen Eingangssignalen in ein binär codiertes, ternäres analoges Ausgangssignal mit einer festen Periode und unterschiedlichem Tastverhältnis durchgeführt werden.
45 Lösung der Aufgabe
Die Erfindung ist dadurch gekennzeichnet, daß von einem vom Taktimpulsgenerator angesteuerten Impulsfrequenzteiler mit einem Teilerverhältnis Mn üDer eine Leitung an je einen Stelleingang zweier nur in einer Richtung zählender Zähler ein Startsignal abgebbar ist, wodurch jeder dieser Zähler über seine jeweilige Eingangsleitung durch mindestens ein digitales Eingangssignal (A; B) vorekistellbar, und danach über eine Taktimpiilsleitung mit einer durch den Taktimpulsgenerator bestimmten Zählfrequenz bis zu einem das Ende des Zählvorganges anzeigenden Basiswert zurückzählend fortschaltbar ist, daß ferner ein weiteres auf einer Vorzeichen-Eingangsleitung liegendes Signal jeweils das Vc-zeichan eines jeden Eingangssignals (A, B) positiv oder negativ angibt, und daß eine Steuerlogik vorgesehen ist, die zur Ausführung einer Addition oder Subtraktion dann, wenn die Vorzeichen zweier Eingangssignale (A, B) gleich sind, nacheinander, und wenn die Vorzeichen dieser beiden Eingangssignale verschieden sind, gleichzeitig Freigabesignale zur Auslösung des Zählvorganges an die beiden Zähler liefert, wodurch auf den Ausgangsleitungen durch die Steuerlogik zwei binäre Signale erzeugbar sind, die die durch das Taktverhältnis bestimmte Größe Nw. das Vorzeichen des analogen Ausgangssignals darstellen, wobei das Taktverhältnis im wesentlichen linear mit den Änderungen der als Eingangssignale zugeführten numerischen Werte und des vorbestimmten Basiswertes schwankt.
Die erfindungsgemäß aufgebaute Schaltungsanordnung setzt eine Anzahl von aus vielen Bits bestehenden, mit beliebigem Vorzeichen versehenen, veränderlichen codierten digitalen Eingangssignalen in ein einziges analoges Ausgangssignal vorbestimmter Amplitude um, dessen Tastverhältnis zu den digitalen Eingangssignalen in Beziehung steht. Während einer algebraischen Addition wird jedes Eingangssignal in einen einer Anzahl verschiedener rücksteiibarer Zähler geladen.
Vorteilhafte Ausges'itltungen der Erfindung sind den Unteransprüchen zu entnehmen.
Die Zähler werden dabei in der Art gesteuert, daß alle Eingangssignale dann nacheinander gezählt werden.
wenn sie das gleiche algebraische Vorzeichen aufweisen. Wenn jedoch einzelne der Eingangssignal nicht identische algebraische Vorzeichen aufweisen, dann werden alle positiven Eingangssignale nacheinander gezählt, und alle negativen Eingangssignale werden in bezug aufeinander nacheinander gezählt, jedoch gleichzeitig mit den positiven Eingangssignalen. Dann wird ein Paar binärer Signale erzeugt, die die Größe und das Vorzeichen eines binär codierten, ternären analogen Ausgangssignals darstellen, wobei der Durchschnittswert der Größe im wesentlichen linear mit den Änderungen in der algebraischen Summe der numerischen Werte sich ändert, die durch die Eingangssignale dargestellt werden. Für die Multiplikation wird das den Multiplikator darstellende Eingangssignal in ein Register eingegeben, das dem Wert des Multiplikators entsprechende, binär gewichtete Ausgangssignale liefert, und der Zähler wird mit unterschiedlicher Frequenz, bestimmt durch aufeinander folgende Taktimpulse und modifiziert durch das binär gewichtete Ausgangssignal, angesteuert und erzeugt dadurch ein binär codiertes, ternäres analoges Ausgangssignal mit einem Durchschnittswert, der im wesentlichen linear mit dem Produkt der durch die Eingangssignale dargestellten numerischen Werte schwankt.
Gemäß einer Abwandlung wird ein Zähler mit variablem Modulus mit einem der Eingangssignale geladen und
liefert als Eingangssignal für einen anderen Zähler eine Reihe oder Folge von Multiplikatorimpulsen, und zwar jeweils einen nach einer Anzahl von Taktimpulsen, welche dem numerischen Wert dieses einen Eingangssignals entspricht. Ein anderes Eingangssignal wird in den anderen Zähler geladen. Der andere Zähler wird dabei für eine dem Produkt des numerischen Wertes des einen und anderer Signale entsprechenden Zeitraum freigegeben, wobei der Durchschnittswert des binär codierten, ternären analogen Ausgangssignals im wesentlichen linear mit den Änderungen des Produkts der numerischen Werte schwankt, die durch diese Eingangssignale d-irg<?s!?!!t werden. Während der Multiplikation wird der andere Zähler für einer! aus jeweils !0 Takiimpulsen geladen, und die Multiplikatorimpulse (anstelle der π Taktimpulse) werden durch den anderen Zähler gezählt. Für die Division ist die Anordnung so getroffen, daß der andere Zähler nunmehr nur einmal für jeweils C mal π Taktimpulse statt für jede η Taktimpulse aufgeladen wird, wobei Cden Wert der in den Modulozähler eingegebenen Eingangssignal darstellt. Als Ergebnis schwankt die Dauer der Wiederholungsperiode als analoge Darstellung von C, und das Tastverhältnis schwankt als analoge Darstellung des Reziprokwertes von C d. h. also mit MC.
Ausführungsbeispiele der Erfindung werden nunmehr anhand der Zeichnungen im einzelnen erläutert.
In den Zeichnungen zeigt
Fig. 1 ein Blockschaltbild zur Umwandlung einer Anzahl au- mehreren Bits bestehender mit bliebigem Vorzeichen versehener, veränderbarer codierter digitaler Eingangssignale in ein binär codiertes, ternäres Ausgangssignal, dessen Durchschnittswert im wesentlichen linear mit Änderungen in der algebraischen Summe der durch die Eingangssignale dargestellten numerischen Werte schwankt.
Fig. 2 ein Blockschaltbild zur Erläuterung, wie die Schaltung abgewandelt werden muß. damit sie in binär codiertes, ternäres Ausgangssignal liefert, das im wesentlichen linear mit Änderungen des Produkts der durch die veränderlichen Eingangssignale dargestellten numerischen Werte schwankt,
F i g. 3 und 4 Blockschaltbilder weiterer Ausführungsformen der Erfindung zur Abgabe von binär codierten, ternären Ausgangssignalen, die sich im wesentlichen linear mit den Änderungen des Produkts der durch die Eingangssignale dargestellten numerischen Werte oder des Quotienten der durch die Eingangssignale dargestellten numerischen Werte ändern,
F i g. 5 im einzelnen die logische Steuerschaltung für die erfindungsgemäß aufgebaute Schaltung, und
F i g. 6A und B Taktimpulsdiagramme zur Darstellung der Arbeitsweise der Schaltung während einer Addition bzw. einer Subtraktion.
Beschreibung einer bevorzugten Ausführungsform
Wie in F i g. 1 dargestellt, liefert die erfindungsgemäß aufgebaute Schaltung ein analoges Ausgangssignal, das die algebraische Summe von ±A ±B darstellt, wobei A und B aus vielen Bits bestehende, mit beliebigem Vorzeichen versehene, veränderbare binär codierte Eingangssignale sind. Diese Schaltung besteht aus einem Taktimpulsgenerator 10, der über Leitung 11 eine Folge von synchronisierten Taktimpulsen, vorzugsweise in Form von einer hochfrequenten Rechteckwelle oder Rechteckschwingung mit konstanter Periode abgibt. Eine Verzweigung der Leitung 11 ist an einem Frequenzteiler 12 für eine Frequenzteilung durch η angeschlossen, uer auf Leitung 13 für jeweils η Taktimpulse oder Taktperioden (anschließend mit Mn bezeichnet) einen Startimpuls abgibt. Einem Λ-Zähler 14 und einem B-Zähler 15, die im Ausführungsbeispiel rückwärts zählen, werden über Sammelleitungen 16 bzw. 17 aus vielen Bits bestehende, mit beliebigem Vorzeichen versehene veränderbare, binär codierte Eingangssignale zugeführt, die die algebraisch zu summierenden numerischen Werte A und B darstellen.
Die Steuerlogik 20 (die noch im einzelnen beschrieben wird), hat folgende Eingänge. Über Leitung 21 wird ein Signal zugeführt, dessen Pegel das Vorzeichen des auf Sammelleitung 16 liegenden, aus mehreren Bits bestehende Eingangssignal A darstellt. Eine Leitung 22 führt ein Signal, dessen Amplitude das Vorzeichen des über Sammelleitung 17 zugeführten, aus mehreren Bits bestehenden Eingangssignals B darstellt. Über eine Abzweigung der Leitung 13 wird ein Startimpuls zugeführt, und auf Leitung 23 tritt ein den Zählerstand des /4-Zählers 14 darstellender Wert auf. Auf einer Leitung 24 tritt ein den Zählerstand des B-Zählers 15 darstellender Wert auf. Die Steuerlogik 20 hat folgende Ausgänge: Rückstelleitungen 25,26 liefern Signale zum Rücksteilen der A- und S-Zähler 14 bzw. 15. Freigabeleitungen 27, 28 liefern Signale zur Freigabe der A- und ß-Zähler, und Leitungen 29, 30 führen Signale, die die Größe bzw. das Vorzeichen des binär codierten, ternären analogen Ausgangssignals der Steuerlogik darstellen.
UND-Glieder 31 und 32 stellen die logische UND-Verknüpfung der Freigabesignale auf Leitung 27 bzw. 28
mit den über Leitung 11 ankommenden Taktimpulsen her. Am Ausgang der U ND-Glieder 31 und 32 treten dann die durch den /4-Zähler bzw. ß-Zähler zu zählenden Impulsfolgen auf.
;i) Addition von aus mehreren Bits bestehenden, mit beliebigem
Vorzeichen versehenen, veränderlichen Zahlen
Zur Abkürzung der nachfolgenden Beschreibung soll der Ausdruck, daß eine Leitung »ein« oder »aus« ist, als Kur/.bezeichnung dafür dienen, daß das Potential des auf derjenigen Leitung liegenden Signals »hoch« oder »niedrig« ist.
Im Betrieb sei zunächst angenommen, daß zwei durch binäre digitale Eingangssignale dargestellte Zahlen miteinander addiert werden sollen. Vor einem Startimpuls auf Leitung 13 werden der A- und ß-Zähler 14 und 15 durch den Ein-Zustand der Rückstelleitungen 25 bzw. 26 im Rückstellzustand gehalten. Die Freigabeleitungen 27 und 28 sind ausgeschaltet. Ein auf Leitung 13 ankommender Startimpuls bewirkt, daß die über Sammelleitungen 16 bzw. 17 ankommenden binären, codierten Eingangssignale in den A- bzw. ß-Zähler geladen werden. Der Startimpuls 13 bewirkt außerdem über die Steuerlogik 20 das Abschalten der Rückstelleitungen 25 und 26.
Die Vorzeichenleitungen 21 und 22 sind bei positivem Vorzeichen eingeschaltet und bei negativem Vorzeichen abgeschaltet. Unter den angenommenen Bedingungen sind daher die Signale auf der Vorzeichenleitung 21 und auf der Vorzeichenleitung 22 für die Zahl A bzw. für die Zahl B die gleichen. Somit bewirkt der über Leitung 13 ankommende Startimpuls, daß die Steuerlogik 20 nur die Freigabeleitung 27 für den /4-Zähler einschaltet. Die Steuerlogik 20 wird dann den Zählerstand des /4-Zählers über Leitung 23 so lange überwachen, bis der Zähler- 2c stand gleich einem bestimmten, festen konstanten Wert, beispielsweise dem Binärcode für Null, ist, der das Ende des Zählvorganges anzeigt. In diesem Fall ist die Anzahl der Taktimpulse, die auf der Taktimpulsleitung 11 nach Auftreten des Startimpulses 13 abgegeben worden sind, numerisch gleich der Zahl, die durch die über Sammelleitung 16 dem /4-Zähler zugeführten binär codierten Signale dargestellt ist. Wenn die Steuerlogik 20 den Abschluß des Zählvorgangs im /4-Zähler 14 feststellt (d. h. der /4-Zähler wurde bis zum Zählerstand Null abwärts gezählt), dann wird die Freigabeleitung 27 für den /4-Zähler ausgeschaltet, während gleichzeitig die Freigabeleitung 28 für den ß-Zähler eingeschaltet wird. Die Steuerlogik überwacht dann den Zählerstand des ß-Zählers über Leitung 24 so lange, bis der Zählerstand des ß-Zählers 15 auf Null verringert ist, was das Ende des Zählvorganges anzeigt, worauf dann die Steueriogik 20 die Freigabeleitung 28 des ß-Zählers ausschaltet und die Rückstelleitungen 25 und 26 für den A- bzw. ß-Zähler einschaltet. Dies ist das Ende des auf Leitung 29 antretenden Signals.
Da die auf Leitung 11 auftretenden Taktimpulse eine konstante Periode haben, so sieht man, daß die vom Startimpuls auf Leitung 13 bis zu dem Zeitpunkt gemessene Zeit, zu der die Steuerlogik 20 das Ende des Zählvorgangs im /4-Zähler 14 feststellt, (d. h. die Dauer, für die die Freigabeleitung 27 für den /4-Zähler eingeschaltet ist), eine analoge Darstellung der über Sammelleitung 16 dem -4-Zähler zugeführten, binär codierten F.ingangssignale ist. In gleicher Weise ist die Zeitdauer, für die die Freigabeleitung 28 für den ß-Zähler eingeschaltet ist, eine analoge Darstellung der über Sammelleitung 17 im ß-Zähler 15 zugeführten, binär codierten Eingangssignaie. Somit ist aiso die vom Beginn des Startimpuises auf Leitung i3 bis zum Abschluß des Zählvorgangs im ß-Zähler 15 gemessene Zeit eine analoge Darstellung der Zahl, die gleich der Summe der über Sammelleitungen !6 und 17 zugeführten, binär codierten Eingangssignale ist, wie sich aus Fig.6A und der nachfolgenden Beschreibung im Abschnitt Taktdiagramme ergibt.
Wie bereits vermerkt, liefert die Steuerlogik 20 auf Leitung 29 ein die Größe des analogen Ausgangssignals darstellendes Signal und auf Leitung 30 ein das Vorzeichen dieses Ausgangssignals darstellendes Ausgangssignal. Wie in Tabelle I zusammengefaßt, tritt auf der Leitung 29 ein die Größe des Ausgangssignals darstellendes Signal immer dann auf, wenn entweder die Freigabeleitung 27 für den /4-Zähler oder die Freigabeleitung 28 für den ß-Zähler eingeschaltet ist, jedoch nicht, wenn beide eingeschaltet sind. Das auf Leitung 30 auftretende Vorzeichensignal hat den Wert des auf Leitung 21 auftretenden Vorzeichens von A, wenn nur die Freigabeleitung 27 für den /4-Zähler eingeschaltet ist, und den Wert des auf der Vorzeichenleitung 22 für den ß-Zähier liegenden Signals, wenn nur die Freigabeleitung 28 für den ß-Zähler eingeschaltet ist. Zu anderen Zeitpunkten (wenn das Signal für die Größe auf Leitung 29 nicht vorhanden ist), wird das auf der Leitung 30 auftretende Vorzeichensignal auf seinem letzten Wert gehalten. In dem oben angenommenen Beispiel, bei dem die Vorzeichen auf beiden Vorzeichenleitungen 21 und 22 für A und ß die gleichen sind, würde das auf Leitung 29 auftretende, die Größe des Ausgangssignals anzeigende Signal für eine so lange Zeit auftreten, wie dies der Addition der durch die binär codierten Signale auf Sammelleitungen 16 und 17 dargestellten Zahlen entspricht
55 Tabelle I
65 *) Der letzte Wert wird gehalten.
27 28 29 30
Afr. Bfr. Größe Vorz.
0 0 0 *)
0 1 1 B
1 0 1 A
1 1 0 *)
Tabellen 29 10 543 Af,.logwert
29
Größe
30
Vorz.
0
0
+ 1
-1
O
O
1
1
0
1
0
1
Diese Ablauffolge wird bei jedem Startimpuls auf Leitung 13 wiederholt (d. h. für jeweils η Taktperioden
einmal). Diese Dauer von π Taktperioden stellt das größtmögliche Ergebnis einer Addition dar. Jedes Ergebnis, das größer ist als die Zeit zwischen zwei aufeinanderfolgenden auf Leitung 13 liegenden Startimpulsen hätte zur Folge, daß das auf Leitung 29 auftretende, die Größe des Ausgangssignals anzeigende Signal ständig vorhanden
15 wäre, d. h. dies wäre ein Sättigungszustand. Da außerdem diese Folge für jeden Startimpuls auf Leitung 13 wiederholt wird, wird das auf Leitung 29 auftretende, die Größe anzeigende Signal eine Rechteckwelle mit einer
■;' konstanten Periode. Je nachdem, wie sich die auf Sammelleitungen 16 und 17 nach den A- und ß-Zählern 14 bzw.
C. 15 übertragenen, binär codierten Eingangssignale ändern, so ändert sich auch die Dauer des auf Leitung 29
■■: auftretenden, die Größe anzeigenden Signals in jeder Periode. Das Ergebnis ist ein Signal, dessen Tastverhältnis
20 (Prozent der Einschaltzeit) in einer linearen Beziehung 711 der Summe Her Zahlen Steht, die durch die binär codierten Eingangssignale auf Sammelleitungen 16 und 17 dargestellt werden, vorausgesetzt, es tritt keine Sättigung ein.
Analogschaltungen (nicht gezeigt) würden normalerweise so aufgebaut, daß sie auf den Durchschnitt oder ] Gleichstromwert des auf Leitung 29 auftretenden, die Größe anzeigenden Signals ansprechen würden, wobei die
25 Polarität in Übereinstimmung mit dem auf Leitung 30 auftretenden Vorzeichensignal geändert werden würde.
b) Subtraktion von aus mehreren Bits bestehenden, mit beliebigen
Vorzeichen versehenen veränderlichen Zahlen
J]
v, 30 Es sei nunmehr angenommen, daß zwei binär codierte, digitale Zahlen subtrahiert werden sollen, d. h. das auf
;,[ auf Leitung 21 für die Zahl A auftretende Vorzeichen ist nicht das gleiche wie das auf der Leitung 22 für die Zahl
.; B auftretende Vorzeichen. Der auf Leitung 13 auftretende Startimpuls bewirkt, daß die logische Steuerschaltung
20 beide Freigabeleitungen 27 und 28 für den Λ-Zähler bzw. den ß-Zähler einschaltet. Wie aus Tabelle I zu sehen, tritt das die Größe anzeigende Signal auf Leitung 29 nicht auf. Die Steuerlogik 20 wird dann den Zählerstand auf
35 den beiden Leitungen 23 und 24 so lange wachen, bis die Zählerstände dem abgenommenen Binärwert 0
;. entsprechen, was das Ende des Zählvorganges anzeigt. Wenn der Zählerstand im Λ-Zähler 14 oder im ß-Zähler
I1 15 seinen Endzustand erreicht, dann wird das entsprechende auf Leitung 27 oder 28 liegende, den Zähler
f. freigebende Signal abgeschaltet. Daraufhin werden dann, wenn nur das Freigabesignal für einen Zähler einge-
ti schaltet ist, das auf Leitung 29 auftretende, die Größe anzeigende Signal und das auf Leitung 30 auftretende, das
i: 40 Vorzeichen anzeigende Signal entsprechend Tabelle I abgegeben werden. In diesem Beispiel wird das auf
Leitung 29 auftretende, die Größe angebende Signal für eine solche Zeitdauer eingeschaltet bleiben, die der
Differenz zwischen den beiden über die Sammelleitungen 16 und 17 den A- bzw. ß-Zählern I^ bzw. 15
■; zugeführten, binär cciierten Eingangssignalen entspricht, wie sich dies aus Fig.6B und dem zugehörigen
:; Abschnitt der Beschreibung »Taktdiagramme« entnehmen läßt. Das Vorzeichensignal auf der Leitung 30 wird
ζ 45 dabei auf das Vorzeichen der größeren der beiden Zahlen eingestellt, die durch die auf den Sammelleitungen 16
.?■ und 17 liegenden Signale dargestellt werden.
% Man sieht somit, daß die bisher beschriebene Schaltung ein analoges Ausgangssignal mit einem Durchschnitts-
I oder Gleichstromwert liefert, der die algebraische Summe von zwei veränderlichen, binär codierten Eingangssi-
:'! gnalen mit beliebigem Vorzeichen darstellt.
ν c) Addition/Subtraktion einer konstanten Zahl
f. B-Zähler 15 und Steuerlogik 20 können außerdem zur Bildung der algebraischen Summe von B mit einer
ß. Konstanten mit beliebigem Vorzeichen sein, z. B. B+D, wobei D eine Konstante darstellt, deren Größe, wie
H 55 bekannt, immer kleiner ist als die Größe der durch die auf der Sammelleitung 17 liegenden Signale dargestellte
ijg Zahl B. Die Steuerlogik überwacht eine das Vorzeichen der konstanten Zahl D anzeigende Eingangsleitung 33
1Jf und die das Vorzeichen des ß-Eingangs anzeigende Leitung 22. Die Steuerlogik 20 wählt dann die eine oder
ji andere der beiden Bedingungen zur Beendigung des Zählvorganges aus. Sind die Vorzeichen von B und D auf
% Leitung 22 und 23 die gleichen, dann wird die Beendigung des Zählvorganges auf den Zeitpunkt festgelegt, zu
$! 60 dem die Anzahl der über die Taktimpulsleitung 11 ankommenden Taktimpulse, bei eingeschalteter Freigabelei-
£ tung 27 für den ß-Zähler numerisch gleich der Zahl ist, die durch die über Sammelleitung 17 für die Zahl B
|i zugeführten Eingangssignale plus der Konstanten D dargestellte Zahl ist Sind die Vorzeichen auf den Leitungen
;3 22 und 33 verschieden, dann wird das Ende des Zählvorganges auf den Zeitpunkt ausgewählt, zu dem die Anzahl
fä der über die Taktimpulsleitung 11 abgegebenen Taktimpuise numerisch gleich der durch die auf der Sammellei-
,ϊ| 65 tung 17 liegenden Eingangssignale für die Zahl B dargestellten Zahl minus der Konstanten D ist. Weger der
I konstanten Periode der Taktimpuise η stellt die Zeitdauer, für die die Freigabeleitung 28 für den ß-Zähler 15
?! eingeschaltet ist, eine analoge Darstellung der algebraischen Summe der durch die auf der Sammelleitung 17
4 liegenden Eingangssignale und der Konstanten ^dargestellten Zahl.
i 6
d) Multiplikation
Es sein nunmehr angenommen, daß zwei binär codierte Zahlen Λ und Czur Erzeugung eines dem Produkt aus den beiden Zahlen entsprechenden Analogsignals miteinander multipliziert werden sollen. Dies läßt sich mit der in F i g. 2 dargestellten Schaltung durchführen, wobei gleiche Bauteile, wie in F i g. 1 mit den gleichen Bezugszeichen versehen sind. Die in F i g. 2 gezeigte Scnaltung enthält einen modifizierten Λ-Zähler 14', der vorzugsweise als programmierbare logische Schaltung (PLA) ausgeführt ist. Das UND-Glied 31 wird durch fünf UND-Glieder 31a bis 31 e ersetzt, deren jedes mit dem Eingang an einer Abzweigung der Taktimpulsleitung 11 und mit einem anderen Eingang an der Freigabeleitung 27 für den Λ-Zähler angeschlossen ist. Diese UND-Glieder weisen jedoch jeweils einen dritten Eingang auf, an dem von einem C-Register 39 kommende Eingangsleitungen 40a bis 4Oe angeschlossen sind, die die binär gewichteten Ausgangssignale des C-Registers 39 darstellen.
Der abgewandelte /4-Zähler 14' zählt dabei alle Taktimpulse, jeden zweiten Taktimpuls, jeden vierten, achten oder sechzehnten Taktimpuls, je nachdem, ob die Taktimpulse von der Taktimpulsleitung 11 durch eines der UND-Glieder 31a, b, c, d oder e hindurchgelassen werden. Da der 7-Bit^-Zähler 14' einen größtmöglichen Zählerstand von 128 aufweist, ist die Anzahl der für das Ende dieses Zählvorgangs notwendigen Impulse 128,64, 32,16 oder 8, je nachdem, welches der UND-Glieder 31a, b, c, ei oder e selektiv freigegeben wird. Tabelle III zeigt, wie das C-Register 39 das Einschalten der Eingangsleitungen 40a bis 4Oe steuert und damit insbesondere, welches der UND-Glieder 31 a bis 31 e selektiv aufgetastet wird. Ein ODER-Glied 41 wird dann aufgetastet, wenn entweder ein Startimpuls auf Leitung 13 auftritt oder wenn auf der Leitung 42 für das Laden des Λ-Zählers ein Signal aufiriU, SO daß dadurch uei Λ-Zählei 14' übet Saiiuiieiieiiung 16 mit seinen binär codierten Eingangssignalen geladen wird.
Tabelle III
Ixxxx 40a 1
Oixxx 40i> 2
Kixx 40c 4
0001:; 4Od 8
00001 4Oe 16
00000 keine
x = unentschieden.
C-Register Zahl-Auswahl- Zählerstand
Zustand (44) leitung mal
35
Im Betrieb hat ein auf Leitung 13 auftretender Startimpuls zur Folge, daß das C-Register 39 mit den über eine Leitung 43 ankommenden digitalen, binär codierten. Eingarigssignalen geladen wird. Zusätzlich /u den bereits in Verbindung mit Addition und Subtraktion beschriebenen Funktionen überwacht die Steuerlogik 20 über eine Sammelleitung 44 den Betriebszustand des C-Registers 39. Wenn die Steuerlogik 2C den Abschluß eines Zähl-Vorgangs im Λ-Zähler 14' feststellt, so wird dadurch die Freigabeleitung 27 für den Λ-Zähler nicht mehr abgeschaltet, sondern es wird vielmehr über die Ladeleitung 42 für den Λ-Zähler ein kurzer Impuls abgegeben. Dieser auf Leitung 42 auftretende Impuls läuft über das ODER-Glied 41 und bewirkt, daß der .4-Zähler 14' mit den über die Sammelleitung 16 ankommenden, binär codierten Eingangssignalen geladen wird. Dies»"»' auf Leitung 42 auftretende Impuls bewirkt ferner, daß das C-Register 39 das höchstwertige Bit, das derzeit eingeschaltet ist, wie dies durch den auf der Sammelleitung 44 liegenden Betriebszustand des C-Registers angezeigt ist, abgeschaltet wird. Wie aus Tabelle III zu erkennen, wird die Zählauswahlleitung 40i>, c. d oder e in dieser Reihenfolge (abhängig davon, auf welcher Leitung ein »1 «-Bit liegt), eingeschaltet, worauf der Λ-Zähler 14' den Zählvorgang wieder aufnimmt, dieses Mal jedoch bei einer entsprechend höheren Zahl (die zum Beispiel 2,4, 8 oder 16 sein kann). Wenn schließlich in keiner der Bit-Positionen der vom C-Register kommenden Sammelleitung 44 eine 1 liegt, dann wird die Multiplikationsoperation abgeschlossen sein, und das auf Leitung 27 liegende Freigabesignal für den Λ-Zähler wird abgeschaltet.
Es soll beispielsweise angeonmmen werden, daß die auf Sammelleitung 43 liegenden binär codierten Eingangssignale 10100 in dieser Reihenfolge auftreten, wobei 1 den Ein-Zustand darstellt. Wenn der Ladevorgang durch einen auf Leitung 13 ankommenden Startimpuls eingeleitet wird, dann wird die Zählauswahlleitung 40a eingeschaltet und bewirkt damit, daß der Λ-Zähler 14' jeden Taktimpuls zählt. Ist der Λ-Zähler 14' beim Zählerstand 0 angekommen, was das Ende des Zählvorgangs der einzelnen Taktimpulse anzeigt, dann liefert die Steuerlogik 20 einen Impuls auf Leitung 42. Dies hat zur Folge, daß der Λ-Zähler 14' erneut über die Sammelleitung 16 mit dem binär codierten Eingangssignalen aufgeladen wird, während gleichzeitig damit das C-Register 39 veranlaßt wird, das höchstwertige Bit, das gerade im Ein-Zustand ist, abzuschalten. Der auf Leitung 44 liegende Betriebszustand des C-Registers 39 ist nunmehr 00100, und dies hat zur Folge, daß die Zählauswahlleitung 40c eingeschaltet wird, so daß der Λ-Zähler 14' jeden vierten Impuls zählt. Nachdem die Steuerlogik 20 das Erreichen des Zählerstandes 0 und damit den Abschluß des Zählvorganges festgestellt hat, wird über Leitung 42 ein Impuls abgegeben, worauf das C-Register 39 das höchstwertige, im Ein-Zustand auf der C-Register-Ausgangsleitung 44 liegende Bit abschaltet. Nunmehr liegt auf der Leitung 44 der Wert 00000. Da jetzt auf der Leitung 44 keine binären Bits im Ein-Zustand liegen, wird die Steueriogik 20 die Freigabeleitung 27 für den Λ-Zähler 14' abschalten.
Man sieht daher, daß in diesem Beispiel die Freigabeleitung 27 für den Λ-Zähler 14' für eine Zeitdauer
eingeschaltet war, die eine analoge Darstellung der Summe der durch die über die Sammelleitung 16 zugeführten, binär codierten Signale dargestelhen Zahl und einem Viertel dieser Zahl darstellt, (d. h. daß die Zahl mit 1,25 multipliziert ist wobei der Rest gekürzt worden ist). Die binär codierte Darstellung von 1,25 ist 1.0100, das ist der für die über Leitung 43 zugeführte, binär codierte Eingangssignal angenommene Wert wobei das binäre Komma zwischen dem Bit höchster Ordnung und dem Bit der nächst niedrigen Ordnung eingefügt ist
e) Multiplikation/Division — Wehere Ausführungsform
Eine andere und einfachere Ausfühnmgsform, die eine Analogdarstellung des Produktes zweier binär codier-
ίο ter Zahlen liefen, ist in F i g. 3 dargestellt Diese Ausführungsform kann dann benutzt werden, wenn die Kürzung des Restes nicht erwünscht oder nicht erforderlich ist In Fig.3 sind für die gleichen Bauelemente oder Baugruppen wie in F i g. 1 die gleichen Bauelemente oder Baugruppen wie in F i g. 1 die gleichen Bezugszeichen verwendet Die Ausfübrungsform in F i g. 3 unterscheidet sich von der in F i g. 1 in folgenden Punkten:
Die den Multiplikator darstellende, binär codierte Zahl C wird über die Eingangsleitung 43 einem Zähler 50
li mit variablem Modulus zugeführt dem außerdem ein Eingangssignal über die Taktimpulsleitung 11 zugeführt wird. Bie Taktimpulsleitung 11 ist dabei nicht am UND-Glied 31 angeschlossen, sondern eine vom Zähler 50 kommende Multiplikator-Impulsleitung 52. Der Zähler 50 liefert für jeweils CTaktimpulse auf der Taktimpulsleitung 11 einen einzigen Ausgangsimpuls auf Leitung 5Z Diese auf Leitung 52 tretenden Impulse werden im i4-Zähler 14 gezählt solange die Freigabeleitung 27 eingeschaltet ist Die Freigabeleitung 27 des A-Zählers 14 wird damit für Cmal A Taktimpulse eingeschaltet sein und damit eine Analogdarstellung des Produktes Cmal A liefern.
Es sei darauf hingewiesen, daß C und A beides ganze Zahlen sind, so daß bei dieser Ausführungsform keine Kürzung auftrit.t Es sei ferner vermerkt da?s die Steuerlogik 20 die C-Eingangsleitung 43 überwachen muß. Wenn der Wert des auf der C-Eingangsleitung 43 liegenden Signals Null ist oder wird, dann tritt keine Multiplikation ein, und die Freigabeleitung 27 für den Λ-Zähler wird abgeschaltet
Gemäß einer weiteren Ausführungsform der Erfindung, wie sie F i g. 4 zeigt, läßt sich durch eine entsprechende Anordnung des Frequenzteilers 12 eine Division anstelle einer Multiplikation durchführen. Das Ausgangssignal des Zählers 50 mit variablem Modulus wird nunmehr dem Eingang des Frequenzteilers 12 zugeleitet. Am Ausgang des Frequenzteilers 12 ist immer noch die Startimpulsleitung 13 angeschlossen. Der auf Leitung 13 auftretende und an die A- und Ä-Zähler 14 bzw. 15 abgegebene Stariirnpuls tritt nunmehr nur für alle Cmal η Taktimpulse statt einmal für je π Taktimpulse auf. Demgemäß schwankt die Dauer der Wiederholungsperiode als analoge Darstellung von C und damit das Tastverhältnis (oder der Durchschnittswert) des auf Leitung 29 auftretenden, die Größe angebenden Signals schwankt danach als analoge Darstellung des Kehrwertes von C.
f) Steuerlogik
Die in Fig.5 dargestellte Steueriogik 20 enhäit normal aufgebaute Vergieichsstufen 101, 102 und 103, die jeweils dem Vergleich zweier aus mehreren Bits bestehender Binärzahlen dienen, und die dann auf den jeweiligen Ausgangsleitungen 101a, 102a oder 103a ein Ausgangssignal liefern, wenn die miteinander verglichenen Zahlen gleich sind. Die Vergleichsstufe 101 liefert auf der Ausgangsleitung 101 b ein Ausgangssignal mit hohem Potential, wenn die miteinander verglichenen Zahlen nicht gleich sind. Eine normal aufgebaute Multiplexschaltung 104 liefert auf einer Ausgangsleitung 1046 entweder ein über die Eingangsleitung + D ankommendes, aus mehreren Bits bestehendes, binäres Eingangssignal oder ein solches über die Leitung — D ankommendes, binäres Eingangssignal, je nachdem, ob das über die Steuerleitung 104a ankommende Steuersignal eine 1 oder eine 0 ist. Es sei hier vermerkt daß D die im Zusammenhang mit Abschnitt c) beschriebene konstante Zahl ist Sie ist innerhalb der Steuerlogik 20 abgespeichert und ihre Vorzeichen, positiv oder negativ, wird durch den Signalpegel auf der dem D-Eingang zugeordneten Vorzeichenleitung 33 bestimmt Verriegelungsschaltungen 105 und 106 haben je einen Einstelleingang und einen Rückstelleingang, und jede dieser Verriegelungsschaltungen ist dann in Betrieb, wenn an dem Einstelleingang fein hohes Potential liegt und liefert dann so lange ein auf hohem Potential liegendes Ausgangssignal, bis am Rückstelleingang R ein Signal mit hohem Potential auftritt.
Die Steuerlogik enthält außerdem ein Exklusiv-ODER-Glied 107, das an einer Abzweigung der B-Vorzeichenleitung und der D-Vorzeichenleitung angeschlossen ist und dann über Leitung 104a ein Ausgangssignal mit hohem Potential liefert, wenn die Vorzeichen auf diesen beiden Eingangsleitungen nicht gleich sind und damit anzeigt daß eine Subtraktion stattfinden muß. Ein weiteres Exklusiv-ODER-Glied 108 liefert dann auf Leitung 108a ein Ausgangssignal mit hohem Potential, wenn die Vorzeichen auf den Vorzeichen-Leitungen 21 und 22 für die Eingänge der Signale A und B ungleich sind, so daß eine Subtraktion angezeigt ist. Wenn auf der Leitung 13 ein Startimpuls auftritt, während auf der Leitung 108a ein Signal mit hohem Potential liegt, dann liefert ein UND-Glied 109 ein Ausgangssignal mit hohem Potential über Leitung 109a, das über ein ODER-Glied 110 die Freigabe-Verriegelungsschaltung 105 für den ß-Zähler einstellt. Liegt auf der Leitung 101 b ein Signal mit hohem Potential, wenn auf der Leitung 13 ein Startimpuls auftritt, dann wird ein UN D-Glied 111 nach der Freigabeverriegelungsschaltung 106 für den /\=Zähler durchgeschaltet und schaltet diese Verriegelungsselialtung ein. Wenn dann auf der Leitung 101a ein Signal mit hohem Potential liegt, was anzeigt, daß eine Multiplikation von A und C abgeschlossen ist, dann läßt das ODER-Glied 110 ein Signal durch, das die Freigabe-Verriegelungsschaltung 105 für den S-Zähler einstellt.
<>■> Ein UND-Glied 112 liefert dann auf einer Leitung 112a ein Ausgangssignal, wenn die Eingangslcitiingen 27 und 21 beide eingeschaltet sind. Ein UND-Glied 113 liefert dann ein Ausgangssignal auf einer Leitung 113.·), wenn beide Eingangsleitungen 22 und 28 eingeschaltet sind. Ein ODER-Glied 114 liefert dann ein Ausgangssignal an die Dateneingangsklemme Deiner Verriegelungsschaltung 115 für das Ausgangsvorzeichen, wenn
entweder die Leitung 112a oder die Leitung 113a auf hohem Potential liegt. Die Vemegelungsschaltung 115 weist außerdem eine Eingangsklemme G für Durchschaltung auf, die an einer Abzweigung der Leitung 29 angeschlossen ist Wenn das auf Leitung 29 liegende, die Größe des Ausgangswertes anzeigende Signal auf hohem Potential liegt, dann liegt auf der das Ausgangsvorzeichen führenden Leitung 30 das gleiche Potential wie auf der Dateneingangsleitung D; wenn jedoch an der Eingangsklemme G ein niedriges Potential anliegt, dann wird die Ausgangsleitung 30 für das Ausgangsyorzeichen auf ihrem vorherigen Potential verbleiben. Ein Exklusiv-ODER-Glied 116 liefert dann ein Eingangssignal an die Leitung 29, wenn eine der beiden Freigabeleitungen 27 oder 28 für den A- oder B-Zähler eingeschaltet sind, jedoch nicht dann, wenn beide auf hohem Potential liegen. 1st entweder die Leitung 27 oder 28 auf hohem Potential, dann liefert ein ODER-Glied 117 ein Ausgangssignal an eine Inverterstufe 118, deren Ausgangsleitung gemeinsam an den Rückstelleitungen 25 und 26 des A- und ß-Zählers angeschlossen ist Damit werden also der Λ-Zähler 14 und der B-Zähler 15 nur dann zurückgestellt, nachdem beide Zähler den Zählerstand Null erreicht haben (oder die intern abgespeicherte Konstante +D oder -DJL Wenn schließlich auf beiden Leitungen 101 b und 102a ein hohes Potential liegt, dann wird das UND-Glied 119 die Eingangsleitung 42 für den Α-Zähler einschalten.
Beim Betrieb sei angenommen, daß auf Leitung 13 ein Startimpuls auftritt, während der auf Leitung 44 auftretende Wert des C-Registers von Null verschieden ist Unter dieser angenommenen Bedingung wird bei eingeschalteter Leitung 101 b die Vemegelungsschaltung 106 für den Α-Zähler über das UND-Glied IJ t eingestellt, so daß die Freigabeleitung 27 für den Λ-Zähler auf hohes Potential gelegt wird. Sind die auf den Vorzeichenleitungen 21 und 22 für den A- und B-Eingang liegenden Vorzeichensignale nicht gleich, dann wird die Verriegelungsschaltung 106 für die Freigabe des ß-Zählers ebenfalls über die logischen Schaltglieder 108, 109 und 110 eingestellt Wenn aber die auf Leitung 2t und 22 für die Eir.gangssignale A und B liegenden Vorzeichensignale gleich sind, dann wird die Vemegelungsschaltung 105 für die Freigabe des ß-Zählers so lange nicht eingestellt, bis der auf Leitung 44 den Zustand des C-Registers anzeigende Wert Null geworden ist
Wenn das den Wert im Zählregister anzeigende Signal auf der Leitung 44 Null geworden ist, dann wird die den /!-Zähler freigebende Vemegelungsschaltung 106 zurückgestellt Wenn der Wert des den Status des B-Zählers auf Leitung 24 anzeigenden Signals den gleichen Wert aufweist wie das Ausgangssignal der von der Multiplexschaltung 104 kommenden Leitung 1046, dann wird die Vemegelungsschaltung 105 für die Freigabe des B-Zählers über die Vergleichsstufe 103 und Leitung 103a zurückgestellt 1st das auf Leitung 22 liegende D-Vorzeichensignal gleich dem auf Leitung 33 liegenden Vorzeichensignal für D, dann ist das auf Leitung 104 liegende Ausgangssignal gleich +D, im andern Fall ist es gleich — D.
Wenn der Wert des auf Leitung 44 den Status des C-Registers anzeigenden Signals von Null verschieden ist und wenn das auf Leitung 23 auftretende, den Zählerstand im Λ-Zähler anzeigende Signal Null ist, dann wird die zum /4-Zähler führende Eingangsleitung 42 eingeschaltet Dies ermöglicht eine Multiplikation dadurch, daß über die Eingangsleitung 16 ein Λ-Zählersignal dem A-Zähler 14 für wiederholte Rückwärtszählung zugeleitet wird. Für eine Multiplikation wird die Freigabeleitung 27 des Λ-Zählers so lange nicht abgeschaltet, bis auf der den Zählerstand des /4-Zählers angebenden Leitung 23 und auf der Ausgangsleitung 44 des C-Registers liegenden Signale beide Null sind. Wegen der Zwischenschaltung der Inverterstufe 118 werden die Rückstelleitungen 25 und 26 für den A- bzw. B-Zähler eingeschaltet, wenn keine der Freigabeleitungen 27 oder 28 für den A- oder den B-Zähler eingeschaltet ist.
Wenn die Freigabeleitung 27 des /4-Zählers nicht auf dem gleichen logischen Potential liegt, wie die Freigabeleitung 28 des B-Zählers, dann nimmt die Ausgangsleitung 29 ein hohes Potential an. Dieses die Größe anzeigende Ausgangssignal auf Leitung 29 steuert die Ausgangsverriegelungsschaltung 115 über die Eingangsklemme G an. Ist die Freigabeleitung 27 des Α-Zählers eingeschaltet, dann wird das auf der Vorzeichenleitung 21 für den Eingang A liegende Vorzeichen als Eingangssignal über das UND-Glied 112, das ODER-Glied 114 nach dem Dateneingang D der Vorzeichen-Vemegelungsschaltung 115 für das Ausgangsvorzeichen übertragen, so daß diese Verriegelungsschaltung auf der Vorzeichen-Ausgangsleitung das Vorzeichen liefert. Wenn dagegen die Freigabeleitung 28 des ß-Zählers eingeschaltet ist, dann wird das auf Leitung 22 liegende Vorzeichen des B-Eingangssignals über Leitung 30 nachdem Dateneingang D der Ausgangsvorzeichen- Verriegelungsschaltung 115 übertragen.
g) Taktdiagramme M
Die Signale, die die A- und B-Zähler 14 und 15 steuern, sollen als gleich angenommen werden. In F i g. 6A sind die für die Eingangssignale A, B und D auf den Eingangsleitungen 21, 22 bzw. 23 angenommenen Vorzeichen positiv, während in F i g. 6B die Vorzeichen für die Eingangssignale A und D auf den Vorzeichenleitungen 21 und 33 negativ sind, während das auf Leitung 22 für den Eingang B liegende Vorzeichen positiv ist. Es sei darauf hingewiesen, daß auf der das Ausgangsvorzeichen angebenden Leitung 33 ein niedriges Potential ein positives Vorzeichen und ein hohes Potential ein negatives Vorzeichen darstellt.
Zur Erläuterung der Arbeitsweise zeigt Fig.6A die Signale während der folgenden arithmetischen Operation:
+ (A C) + (B+ D).
wobei
A = lOlOOOOfbinärcodierteO)
B = 100101 (binär codiert 37)
C = 10101 (binärcodiert 1,3125)
D =110 (binär codiert 6) eine Konstante ist.
Somit ist
+(A ■ C) + (B+D) = (80 χ U125) + (37+6) = 105 + 43 = 148.
5 Dieser Taktzyklus wird sich so lange wiederholen, bis sich der Wert von A, Z? oder Cändert. Andererseits zeigt F i g. 6B die während der nachfolgenden Subtraktion auftretenden Signale:
[-A-Q+ (B-DX
ίο wobei A, B, Cund D die gleichen Werte aufweisen wie oben in Verbindung mit F i g. 6A angegeben. Somit ist
(-A ■ C) + (B-D) = (-80 χ U125) + (37-6) = -105 + 31 = -74.
15
Hierzu 6 Blatt Zeichnungen
10

Claims (5)

Patentansprüche:
1. Schaltungsanordnung für die Durchführung von arithmetischen Operationen an mit beliebigen Vorzeichen versehenen über Eingangsleitungen (16, 17; 21; 22, 33) zugeführten digitalen Eingangssignalen bei gleichzeitiger Umwandlung des Resultatsignals in ein analoges Ausgangssignal fester Amplitude, mit einer dem Resultatsignal entsprechenden Dauer innerhalb jeder Periode und damit mit einem von den verarbeiteten digitalen Eingangssignalen abhängigen Tastverhältnis, unter Verwendung einer durch Taktimpulse eines Taktimpulsgenerators (10) angesteuerten, entsprechend den digitalen Eingangssignalen fortschaltbaren Zähleinrichtung, dadurch gekennzeichnet, daß von einem vom Taktimpulsgenerator (10) angesteuerten Impulsfrequenzteiler (12) mit einem Teilerverhältnis Mn über eine Leitung (13) an je einen Stelleingang zweier nur in einer Richtung zählender Zähler (14, 15) ein Startsignal abgebbar ist, wodurch jeder dieser Zähler (14; 15) über seine jeweilige Eingangsleitung (16; 17) durch mindestens ein digitales Eingangssignal (A; B) voreinstellbar, und danach über eine Taktimpulsleitung (11) mit einer durch den Taktimpulsgenerator (10) bestimmten Zählfrequenz bis zu einem das Ende des Zählvorganges anzeigenden Basiswert zurückzählend fortschaltbar ist, daß ferner ein weheres auf einer Vorzeichen-Eingangsleitung (21; 22, 33) liegendes Signal jeweils das Vorzeichen eines jeden Lingangssignals (A, B) positiv oder negativ angibt, und daß eine Steuerlogik (20) vorgesehen ist, die zur Ausführung einer Addition oder Subtraktion dann, wenn die Vorzeichen zweier Eingangssignale (A, B) gleich sind, nacheinander, und wenn die Vorzeichen dieser beiden Eingangssignale verschieden sind, gleichzeitig Freigabesignale (über 27,28) zur Auslösung des Zählvorganges an die beiden Zähler (14,15) liefert, wodurch auf den Ausgangsleitungen (29,30) durch die Steuerlogik (20) zwei binäre Signale erzeugbar sind, die die durch das Taktverhältnis bestimmte Größe bzw. das Vorzeichen des analogen Ausgangssignais darstellen, wobei das Taktverhäitnis im wesentlichen linear mit den Änderungen der als Eingangssignale zugeführten numerischen Werte und des vorbestimmten Basiswertes schwankt
2. Schaltungsanordnung nach Anspruch 1, dadurch gekennzeichnet, daß zur Ausführung einer Multiplikation ferner ein durch ein weiteres digitales Eingangssignal (C) über eine Leitung (43) voreinstellbares Register (39) vorgesehen kt, das auf seinen Ausgangsleitungen (40a—40e) dem Binärwert das Eingangssignals (C) entsprechend binär gewichtete, parallele Ausgangssignale liefert, die zusammen mit dem digitalen Eingangssignal (A),den nTaktimpulsen und den durch Frequenzteilung 1/ngewonnenen Startimpulsen einen als logische Schaltung modifizierten Zähler (14') entsprechend dem binären Eingangssignal (C) mit unterschiedlichen Impulsfrequenzen für den Zählvorgang ansteuern.
3. Schauungsanordnung nach Anspruch 2, dadurch gekennzeichnet, daß zur Ansteuerung des modifizierten Zählers (14') eice Anza'·! UND-Glieder (31a—3Ie,) vorgesehen ist, die mit einem Eingang alle gemeinsam an der Taktimpuls!ei»ung (11) angeschlossen sind und deren zweite Eingänge jeweils mit einer ein Bit der gewichteten Darstellung der weiteren Eingangssignals (± C) führenden Ausgangsleitung (40a,... ,4Oe,) des C-Registers (39) verbunden ist und daß damit die UND-Glieder (31a—3IeJ an denen ein aktives Bit der gewichieten Startimpuise anliegt, nacheinander durch aufeinanderfolgende Startimpulse auftastbar sind, so daß der Zähler so lange jeweils mit einer anderen binären Gewichtung zählt, bis keine aktiven Bits mehr anliegen und die Multiplikation abgeschlossen ist.
4. Schaltungsanordnung nach Anspruch 1, dadurch gekennzeichnet, daß zur Ausführung einer Multiplikation weiterhin ein Zusatzzähler (50) mit variablem Modus vorgesehen ist, der einmal durch den Taktimpulsgenerator (10) und außerdem durch das weitere Eingangssignal (C) ansteuerbar ist und damit nach Freigabe des ersten Zählers (14) nach jeder Beendigung jeweils derjenigen Anzahl von Taktimpulsen, die dem numerischen Wert des weiteren Eingangssignals (C) entspricht, eine Folge von Multiplikatorimpulsen an den ersten Zähler (14) abgibt, daß dabei die Steuerlogik (20) an den ersten Zähler (14) ein Freigabesignal einer solchen Zeitdauer abgibt, die dem numerischen Wert des weiteren Eingangssignals und der anderen Signale entspricht, wodurch ein Paar logischer binärer Signale erzeugbar ist, die die durch das Taktverhältnis bestimmte Größe bzw. das Vorzeichen des analogen Ausgangssignals darstellen, wobei das Taktverhältnis im wesentlichen linear mit den Änderungen des algebraischen Produkts der als Eingangssignale zugeführten
so numerischen Werte schwankt.
5. Schaltungsanordnung nach Anspruch 1 und 4, dadurch gekennzeichnet, daß zur Ausführung einer Division der Frequenzteiler (12) zwischen den Zusatzzähler (50) mit variablem Modulus und den beiden Zählern (14, 15) eingeschaltet ist und nach jeweils η der vom Zusatzzähler (50) gelieferten Impulse ein Startsignal liefert, daß dabei die Steuerlogik (20) an den ersten Zähler (14) genau ein Freigabesignal innerhalb einer Zeitdauer liefert, die dem Quotienten des einen Signals, dividiert durch das andere Signal entspricht, und daß damit ein Paar binäre Signale erzeugt wird, die die durch das Taktverhältnis bestimmte Größe bzw. das Vorzeichen eines analogen Ausgangssignals darstellen, dessen Durchschnittswert im wesentlichen linear mit dem Kehrwert des durch das weitere Eingangssignal ^dargestellten numerischen Wertes schwankt.
DE2910543A 1978-03-31 1979-03-17 Schaltungsanordnung für die Durchführung von arithmetischen Operationen Expired DE2910543C2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US05/892,244 US4205303A (en) 1978-03-31 1978-03-31 Performing arithmetic using indirect digital-to-analog conversion

Publications (2)

Publication Number Publication Date
DE2910543A1 DE2910543A1 (de) 1979-10-04
DE2910543C2 true DE2910543C2 (de) 1986-05-15

Family

ID=25399627

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2910543A Expired DE2910543C2 (de) 1978-03-31 1979-03-17 Schaltungsanordnung für die Durchführung von arithmetischen Operationen

Country Status (6)

Country Link
US (1) US4205303A (de)
JP (2) JPS54132160A (de)
DE (1) DE2910543C2 (de)
FR (1) FR2421515A1 (de)
GB (1) GB2018066B (de)
IT (1) IT1166669B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NZ198054A (en) * 1981-08-17 1986-05-09 New Zealand Dev Finance Polernary logic:multilevel circuits
JPS61256826A (ja) * 1985-05-09 1986-11-14 Sony Corp D/aコンバ−タ
GB2176353B (en) * 1985-06-06 1988-08-24 Motorola Inc D/a converter
US6707874B2 (en) 2002-04-15 2004-03-16 Charles Douglas Murphy Multiple-output counters for analog-to-digital and digital-to-analog conversion
JP4199149B2 (ja) * 2004-03-25 2008-12-17 矢崎総業株式会社 液面レベル測定システム

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3052412A (en) * 1961-01-26 1962-09-04 Ibm Multiplier circuit
US3424980A (en) * 1965-05-10 1969-01-28 Gen Time Corp Electronic dividing system for determining the ratio of two differences
US3447148A (en) * 1965-10-18 1969-05-27 Honeywell Inc Digital to analog converter having digital feedback
US3646545A (en) * 1970-06-04 1972-02-29 Singer Co Ladderless digital-to-analog converter
US3786488A (en) * 1971-12-30 1974-01-15 Woodward Governor Co Algebraic summing digital-to-analog converter
DE2340227C2 (de) * 1973-08-08 1975-09-25 Siemens Ag, 1000 Berlin Und 8000 Muenchen Digital-Analog-Wandler

Also Published As

Publication number Publication date
JPS627585B2 (de) 1987-02-18
IT7920571A0 (it) 1979-02-27
GB2018066A (en) 1979-10-10
JPS6122835B2 (de) 1986-06-03
IT1166669B (it) 1987-05-06
DE2910543A1 (de) 1979-10-04
GB2018066B (en) 1982-04-15
FR2421515A1 (fr) 1979-10-26
FR2421515B1 (de) 1982-03-05
JPS54132161A (en) 1979-10-13
US4205303A (en) 1980-05-27
JPS54132160A (en) 1979-10-13

Similar Documents

Publication Publication Date Title
DE2912440A1 (de) Taktsignalgenerator
DE3427669C2 (de) Signalverarbeitungsschaltung
DE2400394B2 (de) Schaltungsanordnung zur digitalen Frequenzteilung
DE4205346C2 (de) Taktgeber
DE2432594B2 (de) Rekursives Digitalfilter
DE2910543C2 (de) Schaltungsanordnung für die Durchführung von arithmetischen Operationen
DE961222C (de) Anordnung zur Umwandlung von elektrischen Code-Impulsgruppen aus der binaeren in diedezimale Darstellungsweise
DE3420327C2 (de)
DE3046772C2 (de) Taktgenerator
DE2244741C3 (de) Anordnung zur digitalen Messung einer physikalischen Größe durch einen Impulszähler mit ganzer invariabler Zählbasis
DE844367C (de) Elektronischer Zaehler mit Vorwaerts- und Rueckwaertszaehlung
DE2337132A1 (de) Dezimale grenzwerteinstellung zum vergleich mit einem binaeren digitalsignal
DE2608268C2 (de) Verfahren zum Erzeugen einer veränderbaren Folge von Impulsen und Schaltungsanordnung zur Durchführung des Verfahrens
DE2424930C3 (de) Anordnung zur Analog/Digitalumwandlung
DE4106431C1 (de)
DE2120578A1 (de) Digitale Steuervorrichtung
DE2061482C3 (de) Steuervorrichtung zum Erzeugen von Impulsketten mit nach einem zeitlichen Programm auftretenden Impulsen
DE2536216C3 (de) Elektronische Zeitschalteinrichtung
DE1193098B (de) Kontrollvorrichtung fuer einen elektronischen Zaehler mit zwei Registern
DE1257197B (de) Verfahren zur Umwandlung von Digitalwerten in eine Impulsfolge fuer Zwecke der Steuerungstechnik
DE4136980A1 (de) Vorrichtung zur veraenderung des tastverhaeltnisses oder der pulszahldichte einer signalfolge
DE1537046C (de) Schaltungsanordnung zur Umsetzung einer Wechselspannung in eine Impulsfolge-
DE2848943C2 (de) Anordnung zum stochastischen Kodieren von mindestens zwei Größen
DE2622579C3 (de) Analog-Digital-Umsetzer mit einem Nachführungsnetzwerk
DE2734302B2 (de) Taktgesteuertes rückgekoppeltes Schieberegister zur Erzeugung einer Quasizufalls-Bitfolge maximaler Länge

Legal Events

Date Code Title Description
OAP Request for examination filed
OD Request for examination
8128 New person/name/address of the agent

Representative=s name: BRUEGEL, G., DIPL.-ING., PAT.-ANW., 7030 BOEBLINGE

D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee