DE19638117A1 - Oberflächentemperatursondenkopf, Verfahren zu dessen Herstellung und Vorrichtungen unter Verwendung eines derartigen Sondenkopfs - Google Patents

Oberflächentemperatursondenkopf, Verfahren zu dessen Herstellung und Vorrichtungen unter Verwendung eines derartigen Sondenkopfs

Info

Publication number
DE19638117A1
DE19638117A1 DE19638117A DE19638117A DE19638117A1 DE 19638117 A1 DE19638117 A1 DE 19638117A1 DE 19638117 A DE19638117 A DE 19638117A DE 19638117 A DE19638117 A DE 19638117A DE 19638117 A1 DE19638117 A1 DE 19638117A1
Authority
DE
Germany
Prior art keywords
probe head
probe
temperature
wafer
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE19638117A
Other languages
English (en)
Inventor
David Wooten
Bruce Krein
Jianou Shi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fusion Systems Corp
Original Assignee
Fusion Systems Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fusion Systems Corp filed Critical Fusion Systems Corp
Publication of DE19638117A1 publication Critical patent/DE19638117A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/14Supports; Fastening devices; Arrangements for mounting thermometers in particular locations
    • G01K1/143Supports; Fastening devices; Arrangements for mounting thermometers in particular locations for measuring surface temperatures

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Radiation Pyrometers (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)

Description

Innerhalb der Halbleiterherstellungstechnik existieren zahlreiche Prozesse, bei denen Wafer bei erhöhter Temperatur zu behandeln sind. Beispiele für derartige Prozesse sind: Plasmaveraschung von Photoresist, chemische Dampf­ niederschlagung und Tempern. Es ist erwünscht, die Temperatur von Wafern, die derartige Herstellprozesse durchlaufen, eng zu überwachen.
Bei Temperaturmessungsanwendungen bei der Halbleiterherstellung werden Halbleiter häufig mit beachtlicher Geschwindigkeit erwärmt. Bei derartigen Anwendungen ist es wichtig, daß der Sensor verzögerungsfrei die Temperatur eines Wafers aufzeichnet, der gerade erwärmt wird.
Es existieren kontaktfreie, optische Temperaturmeßtechniken innerhalb des Stands der Technik. Optische Techniken beruhen auf Änderungen des Reflexi­ ons- und des Transmissionsvermögens von Halbleiterwafern abhängig von der Temperatur, und sie leiden unter dem Nachteil, daß Variationen dieser optischen Eigenschaften von einem Wafer zum nächsten der Genauigkeit ab­ träglich sind, mit der die Messungen ausgeführt werden können.
Kontaktfreie Techniken, die sich auf Wärmestrahlungsübergänge zwischen einem Objekt (z. B. einem Halbleiterwafer) und einem Sensor stützen, wie z. B. im US-Patent Nr. 5,106,200 offenbart, haben von Natur aus Beschrän­ kungen hinsichtlich der Ansprechgeschwindigkeit, und zwar wegen der relativ geringen Leistung, wie sie bei den Temperaturen abgestrahlt wird, die typi­ scherweise bei der Halbleiterverarbeitung verwendet werden. In diesem Zu­ sammenhang ist zu beachten, daß die im Patent ′200 erörterten Versuche sehr niedrige Erwärmungsraten (-2,3°C/s) verwenden, wobei jedoch die bei tatsächlicher Halbleiterverarbeitung verwendeten Erwärmungsraten das 10- fache oder mehr betragen können.
Kontaktierende Temperaturmessung ist eine sehr zuverlässige Temperaturmes­ sung. Jedoch ist kontaktierende Temperaturmessung nicht ohne eigene Nach­ teile. Ein Schlüsselnachteil bei kontaktierender Temperaturmessung besteht darin, daß ebenfalls ein Problem hinsichtlich der Ansprechgeschwindigkeit besteht, d. h., daß eine Verzögerung zwischen der tatsächlichen Temperatur und der vom kontaktierenden Temperatursensor abgelesenen Temperatur exis­ tiert, wenn sich die Temperatur des Objekts ändert. Dieses Problem ist im US-Patent Nr. 5,106,200 erkannt, wo unter Bezugnahme auf Fig. 6 des Patents dargestellt ist, daß bei einem mit einem kontaktierenden Temperatursensor ausgeführten Versuch eine Temperaturdifferenz über 60°C zwischen der tat­ sächlichen Temperatur und der durch einen kontaktierenden Temperatursensor abgelesenen Temperatur am Ende eines Erwärmungsprozesses von 30 Sekunden bestand, durch den ein Wafer mit der sehr mäßigen Rate von ungefähr 2,8°C/s erwärmt wurde.
Der Verzug rührt davon her, daß Wärme zwischen der Sonde und dem gemesse­ nen Objekt fließen muß, um deren Temperaturen auszugleichen. Da die Sonde eine bestimmte Wärmemasse und eine bestimmte endliche Wärmeleitfähigkeit aufweist und da auch der Wärmekontakt zwischen der Sonde und dem Objekt eine bestimmte endliche Wärmeleitfähigkeit aufweist, ist der Wärmefluß nicht momentan und der Verzug weicht demgemäß von null ab. Die Erfinder haben erkannt, daß es zum Minimieren dieses Verzugs wesentlich ist, 1) die Wärmemasse der Sonde zu minimieren, 2) den Wärmewiderstand des Kontakts zwischen der Sonde und dem Objekt zu minimieren und 3) Wärmeentweichungs­ pfade aus der Sonde zu minimieren.
Beim Schaffen der Erfindung erkannten die Erfinder die Wichtigkeit des Auflagedrucks am Kontakt zwischen der Temperatursonde und dem Halbleiterwa­ fer. Es ist nicht praxisgerecht, eine Kraft auf den Wafer auszuüben, um den Auflagedruck zu erhöhen, da ein Wafer sehr zerbrechlich ist. Die Gewichts­ kraft des Wafers selbst ist sehr klein und sorgt für keinen großen Druck, wenn das Gewicht des Wafers auf dem Kontaktpunkt abgestützt wird.
Die Erfinder standen vor dem Problem, eine extrem leichte kontaktierende Temperatursonde mit sehr ebener Fläche zu schaffen, die ein Thermoelement sicher in gutem Wärmekontakt hält.
In der Mikrolithographieindustrie wird Plasmaverarbeitung zu verschiedenen Zwecken verwendet, wie zur plasmaunterstützten chemischen Dampfniederschla­ gung und bei der Plasmaveraschung. Bei diesen Anwendungen existiert eben­ falls ein Bedarf, die Temperatur zu messen. Wenn ein Thermoelement oder eine andere elektrische Temperaturmesseinrichtung dazu verwendet wird, die Temperatur zu messen, ist es erforderlich, über elektrische Leitungen zu verfügen, die von der am Wafer positionierten Temperaturmesseinrichtung aus der Bearbeitungskammer herausführen. Solange die Kammer nicht so konzipiert ist, daß der Wafer an der Wand derselben liegt, müssen die Leitungen durch einen Teil der Kammer laufen. Dann entsteht in Plasmabearbeitungskammern das Problem, daß das Plasma entweder elektrisch oder thermisch mit den Leitungen wechselwirkt und zu falschen Ablesewerten führt. Unter dem Be­ griff "thermisch" ist zu verstehen, daß das Plasma die Leitungen erwärmt und daß die Leitungen ihrerseits Wärme zur temperaturempfindlichen Ein­ richtung liefern. Die elektrische Wechselwirkung ist komplizierter und weniger gut verstanden, jedoch kann das elektrisch aktive Plasma Ströme und Spannung in den Drähten hervorrufen, was zu falschen Signalen führt. Die Erfinder haben falsche Signale beobachtet, wenn sie eine Sonde in einer Plasmaumgebung betrieben.
Gemäß einer Erscheinungsform der Erfindung ist eine kontaktierende Tempera­ turmeßsonde zum Messen der Temperatur eines Halbleiterwafers geschaffen, die auch als einer der Halter zum Halten des Halbleiterwafers dienen kann. Die Sonde kann einen Sondenkopf aufweisen, der sehr klein ist und niedrige Wärmekapazität aufweist, mit einer ebenen Fläche, die zur ebenen Fläche des Halbleiterwafers paßt, und der mechanisch so gelagert ist, daß er sich unter dem Gewicht des Wafers frei so dreht, daß die ebene Fläche flächig am gehaltenen Halbleiterwafer zur Anlage kommt.
Gemäß einer weiteren Erscheinungsform der Erfindung umfaßt der Sondenkopf eine Kontaktfläche mit bestimmter Abmessung (z. B. Durchmesser) für Anpas­ sung an die ebene Fläche des Halbleiterwafers, und er ist mechanisch so gelagert, daß er sich unter dem Gewicht des Wafers so frei dreht, daß seine ebene Fläche koplanar am gehaltenen Halbleiterwafer anliegt, wobei die Sonde ferner dadurch gekennzeichnet ist, daß das Drehzentrum um einen solchen Abstand von der Kontaktfläche entfernt liegt, der kleiner als unge­ fähr die Abmessung der Kontaktfläche ist.
Gemäß einer weiteren Erscheinungsform der Erfindung wird ein Halbleiterwa­ fer durch mehrere Halter gehalten, zu denen eine kontaktierende Temperatur­ meßsonde oder mehrere gehören, und diese Halter sind gemäß den Gesetzen der Statik so ausgebildet, daß mindestens eine der kontaktierenden Tempe­ ratursonden einen größeren Anteil des Gewichts des Wafers trägt, als es dem gleich verteilten Anteil entspricht.
Bei einer Ausführungsform der Erfindung wird ein Halbleiter durch eine kontaktierende Temperatursonde und zwei gewöhnliche Halterungsstifte gehal­ ten, wobei die drei Halterungsstifte nicht kolinear sind, so daß sie den Wafer stabil halten, und wobei die kontaktierende Temperatursonde näher am Zentrum des Wafers als die üblichen Halterungsstifte liegt.
Gemäß einer anderen Erscheinungsform der Erfindung umfaßt ein kontaktie­ render Temperaturmeßsondenkopf, in den eine temperaturempfindliche Ein­ richtung (z. B. ein Thermoelement) eingeführt ist, eine im wesentlichen ebene Kontaktfläche, die im wesentlichen parallel zu einer bestimmten Pro­ jektionsebene verläuft und sich im wesentlichen zusammen mit der projizier­ ten Fläche des Sondenkopfs, entsprechend der bestimmten Projektionsebene, erstreckt, wobei die bestimmte Projektionsebene dadurch gekennzeichnet ist, daß die auf diese bestimmte Projektionsebene projizierte Fläche die größte Fläche oder nahezu die größte Fläche in bezug auf alle denkbaren Projekti­ onsebenen des Sondenkopfs ist.
Gemäß einer weiteren Erscheinungsform der Erfindung umfaßt eine kontaktie­ rende Temperatursonde einen Sondenkopf mit einer temperaturempfindlichen Einrichtung und einer Kontaktfläche sowie eine den Sondenkopf haltende Konstruktion, wobei die Verbindung zwischen dem Sondenkopf und seiner Hal­ tekonstruktion durch hohen Wärmewiderstand und eine freie Drehbewegung des Sondenkopfs innerhalb eines bestimmten Raumwinkels gekennzeichnet ist.
Gemäß einer weiteren Erscheinungsform der Erfindung besteht die Verbindung zwischen dem Sondenkopf und der Haltekonstruktion aus einem punkt- oder linienförmigen Kontakt. In der Praxis verfügen linien- und punktförmige Kontakte über eine endliche Fläche, jedoch ist diese Fläche sehr klein, und infolgedessen ist der Wärmewiderstand am Kontakt hoch.
Gemäß einer weiteren Erscheinungsform der Erfindung umfaßt die Haltekon­ struktion einen Abschnitt mit hohem Wärmewiderstand, der sich ausgehend von der Verbindung erstreckt.
Gemäß einer weiteren Erscheinungsform der Erfindung ist ein Verfahren zum Herstellen eines kontaktierenden Temperatursondenkopfs mit den folgenden Schritten geschaffen: 1) vorläufigen Schritten des Herstellens eines Roh­ sondenkopfs, wobei ein Loch in den Rohsondenkopf gebohrt wird, 2) Einführen eines Thermoelements in das Loch, 3) Anwenden einer Klemmkraft auf den Rohsondenkopf, um das Loch um das Thermoelement herum zu verengen und das Thermoelement im Sondenkopf in gutem Wärmekontakt innerhalb des Lochs fest­ zuhalten, und 4) Feinbarbeitung des Rohsondenkopfs, um eine flache, glatte Wärmekontaktfläche herzustellen.
Gemäß einer weiteren Erscheinungsform der Erfindung sind Wärme- und elek­ trische Abschirmungseinrichtungen vorhanden, die elektrische Leitungen umgeben, die von einer Temperaturmesseinrichtung benachbart zu einem Wafer in einer Plasmabearbeitungskammer herführen.
Demgemäß ist es eine Aufgabe der Erfindung, eine kontaktierende Temperatur­ sonde zu schaffen, die der Temperatur eines Halbleiterwafers, wobei sich diese Temperatur schnell ändert, eng folgen kann, wobei nur das Gewicht des Wafers für die Kontaktdruckkraft zwischen dem Wafer und der Sonde sorgt.
Es ist eine weitere Aufgabe der Erfindung, eine Halbleiterwaferhalter/Kon­ takttemperatursonde-Kombination mit selbstausrichtendem, schwenkendem Sondenkopf zu schaffen, wobei die Schwenkwirkung desselben nicht mehr Kraft benötigt als denjenigen Teil der Gewichtskraft des Wafers, die vom Halter abgestützt wird.
Es ist noch eine weitere Aufgabe der Erfindung, eine Halbleiterwaferhal­ ter/Kontakttemperatursonde-Kombination zu schaffen, die der sich ändernden Temperatur eines Wafers, an dem sie verwendet wird, eng folgt.
Es ist eine Aufgabe der Erfindung, eine kontaktierende Temperatursonde zu schaffen, die Temperaturmessungen mit schnellem Ansprechverhalten (kleiner Verzug) eines Gegenstands ausführen kann, dessen Temperatur sich in einer Vakuumumgebung ändert, d. h. ohne den Nutzen der Wärmeleitung eines Gases.
Es ist eine Aufgabe der Erfindung, eine kontaktierende Temperatursonde zu schaffen, die ein großes Verhältnis der Wärmeleitfähigkeit am Kontakt zur Wärmekapazität erzielt.
Es ist eine Aufgabe der Erfindung, eine kontaktierende Temperatursonde zu schaffen, die in einer Plasmabearbeitungseinrichtung verwendet werden kann und deren Ablesewerte hinsichtlich der Genauigkeit durch das Plasma nicht nachteilig beeinflußt werden.
Die Erfindung wird unter Bezugnahme auf die beigefügten Zeichnungen besser zu verstehen sein.
Fig. 1 ist eine vollständige Draufsicht auf eine kontaktierende Temperatur­ sonde gemäß einem ersten Ausführungsbeispiel der Erfindung.
Fig. 2 ist eine perspektivische Zeichnung des in Fig. 1 dargestellten Son­ denkopfs, zusammen mit Projektionsebenen und projizierten Flächen.
Fig. 3 ist eine perspektivische Zeichnung zum Stand der Technik, mit Pro­ jektionsebenen und projizierten Flächen.
Fig. 4 ist eine Vorderansicht einer Halteeinrichtung für einen kontaktie­ renden Temperatursondenkopf gemäß dem ersten Ausführungsbeispiel der Erfin­ dung.
Fig. 5 ist eine teilweise durchdringende Ansicht einer Kontakttemperatur­ sonde/Waferhalter-Kombination gemäß dem ersten Ausführungsbeispiel der Erfindung.
Fig. 6 ist eine schematische Veranschaulichung einer Halbleiterbearbei­ tungskammer, in der die Erfindung verwendet wird.
Fig. 7 ist eine schematische Ansicht eines Wafers, und sie zeigt die Anord­ nung von Waferhalterungsstiften und einer Kontakttemperatursonde/Waferhalt­ er-Kombination.
Fig. 8 zeigt ein zweites Ausführungsbeispiel der Erfindung.
Fig. 9 zeigt ein drittes Ausführungsbeispiel der Erfindung.
Fig. 10 zeigt eine kontaktierende Temperatursonde zusammen mit einer Wärme­ abschirmung.
Fig. 11 zeigt einen Sondenkopf gemäß dem bevorzugten Ausführungsbeispiel der Erfindung.
Fig. 12 zeigt eine kontaktierende Temperatursonde gemäß dem bevorzugten Ausführungsbeispiel der Erfindung einschließlich des in Fig. 11 dargestell­ ten Sondenkopfs.
Fig. 13 zeigt eine Spanneinrichtung zur Verwendung bei der Herstellung des kontaktierenden Temperatursondenkopfs gemäß dem bevorzugten Ausführungsbei­ spiel der Erfindung.
Fig. 14 zeigt den Aufbau zur Verwendung der in Fig. 13 dargestellten Spann­ einrichtung.
Fig. 15 ist ein Kurvenbild, das zwei Sätze von Temperaturmeßdaten bei schnellem Temperaturanstieg zeigt.
Fig. 1 ist eine vollständige Vorderansicht eines kontaktierenden Tempera­ tursondenkopfs 1. Der Sondenkopf umfaßt einen oberen Plattenabschnitt 2, dessen Oberfläche 3 die Wärmekontaktfläche ist. Die Kante der Oberfläche 3 kann durch eine kleine Schräge 4 gebrochen sein. Durch den Plattenabschnitt 2 ist ein Radialloch 5 hindurchgebohrt. Dieses Radialloch nimmt ein Thermo­ element auf, wie es weiter unten erörtert wird. Mit der Mitte des Bodens des Plattenabschnitts 2 ist ein sich axial erstreckender Stiel 6 verbunden. Der Stiel verfügt über ein axiales Sackloch 7, das von seinem freien Ende her zum Plattenabschnitt 2 hin eingebohrt ist. Das Ende 8 des Sacklochs 7 verfügt über Kegelform, wie es allgemein mit einem gewöhnlichen Zentrier­ bohrer erhalten wird. Das Ende 8 des Sacklochs 7 liegt leicht unter dem Plattenabschnitt 2.
Bei einem tatsächlich aufgebauten Realisierungsbeispiel wies der obere Plattenabschnitt 2 einen Durchmesser von 0,411 mm und eine Dicke von 0,102 mm auf. Der Stiel 6 hatte eine Länge von 0,762 mm und einen Durchmes­ ser von 0,147 mm. Das Sackloch 7 wies einen Durchmesser von 0,114 mm und eine Tiefe von 0,711 mm auf. Die mittlere Dicke des Sondenkopfs in der Richtung rechtwinklig zur Oberfläche 3 betrug einschließlich dem Stielab­ schnitt 0,145 mm. Die Kontaktfläche entspricht dem Durchmesser des oberen Plattenabschnitts.
In Fig. 2 ist der Sondenkopf perspektivisch dargestellt. Es sind zwei Pro­ jektionsebenen, nämlich eine horizontale Projektionsebene p1 und eine ver­ tikale Projektionsebene p2 dargestellt. Die auf die horizontale Projekti­ onsebene p1 projizierte Fläche ist die größere Fläche, und sie stimmt (mit Ausnahme der Schräge) mit der von der Schräge 4 umschlossenen Fläche (nicht dargestellt) überein. Diese Konstruktion ist von Vorteil, da sie zu einem großen Verhältnis zwischen der Kontaktfläche und der Wärmekapazität führt, was schließlich zu gutem Sondenfunktionsvermögen, d. h. minimiertem An­ sprechverzug führt. In dieser Ansicht ist erkennbar, wie die Thermoelement­ drähte 6a, 6b aus dem radialen Loch 5 im Plattenabschnitt 2 des Sondenkopfs 1 heraustreten.
In Fig. 3 ist der im US-Patent Nr. 4,355,911 für Tymkewicz offenbarte Son­ denkopf wiedergegeben. Eine vertikale Projektionsebene p2′ und eine hori­ zontale Projektionsebene p1′ sind wie in Fig. 2 dargestellt. Die auf die horizontale Projektionsebene p1′ projizierte Fläche ist eine Kreisfläche, deren Durchmesser dem Außendurchmesser der Oberseite 102 entspricht. Die auf die vertikale Ebene p1′ projizierte Fläche ist ein unregelmäßig geform­ tes Gebiet, das ungefähr so groß ist wie das, das auf die horizontale Ebene p2′ projiziert ist. Die Kontaktfläche 103 ist ein ringförmiger Bereich, der sich ausgehend von der Außenkante 104 der Oberseite 102 erstreckt. Die Kontaktfläche erstreckt sich nicht gemeinsam mit der auf die horizontale Projektionsebene p1′ projizierten Fläche. Während die projizierte Fläche einen vollkommenen Kreis ausfüllt, ist der vertiefte Bereich 105 der Ober­ fläche 102 kein Teil des Kontaktbereichs 103. Dieses Design ist vom Stand­ punkt der Lehren der Erfindung aus nicht gut. Der vertiefte Bereich 105 trägt zur Wärmekapazität des Sondenkopfs 101 bei, ohne zur Kontaktfläche beizutragen. Dadurch besteht die Tendenz, daß das Ansprechverhalten der Sonde verschlechtert ist.
Fig. 4 zeigt eine Halteeinrichtung 11 für einen kontaktierenden Temperatur­ sondenkopf. Die Halteeinrichtung 11 besteht aus mehreren Abschnitten. Der untere Abschnitt 10 wird normalerweise auf dem Boden einer Bearbeitungskam­ mer abgestützt, wie unten beschrieben. Ganz oben am untersten Abschnitt 10 befindet sich ein mittlerer Abschnitt 11 mit kleinerem Durchmesser. Ganz oben am mittleren Abschnitt 11 befindet sich ein oberer Abschnitt 12 mit noch kleinerem Durchmesser. Es ist erwünscht, daß der Halter einen Quer­ schnitt mit hohem Wärmewiderstand aufweist, wobei jedoch darauf hingewiesen ist, daß bereits die Verbindung zwischen dem Sondenkopf 1 und der Halte­ einrichtung 9 hohen Wärmewiderstand aufweist, so daß hoher Wärmewiderstand des Halters einfach den gesamten Wärmewiderstand des Wärmeabführpfads durch den Halter 9 erhöht. Im Interesse eines hohen thermischen Widerstands soll­ te die Halteeinrichtung eine kleinere mittlere Querschnittsfläche aufweisen und/oder aus einem Material mit hohem thermischem Widerstand bestehen.
Die Spitze 14 des Sondenkopfhalters 9 kann entweder abgerundet sein, wie dargestellt, oder kegelförmig mit einem kleineren Kegelwinkel, als es das kegelförmige Ende 8 des Bodens des axialen Lochs 7 im Stiel 6 der kontak­ tierenden Sonde 1 aufweist.
In Fig. 5 ist die zusammengebaute Kontakttemperatursonde/Waferhalter-Kombi­ nation dargestellt. Der obere Abschnitt 12 des Halters 9 paßt in den Frei­ raum im axialen Loch 7 des Sondenkopfs 1. Der einzige Kontakt zwischen dem Sondenkopf 1 und dem Halter 9 ist eine kreisförmige Kontaktlinie zwischen der abgerundeten Spitze 14 des Halters 9 und dem kegelförmigen Ende 8 des axialen Lochs 7. Der Sondenkopf kann innerhalb eines bestimmten Raumwinkel­ bereichs Ω gegenüber der Vertikalen frei verschwenken. Dieser Bereich ist durch die Länge des axialen Lochs 7 und die Durchmesser des oberen Ab­ schnitts 12 und des axialen Lochs 7 begrenzt.
In Fig. 6 ist in schematischer Veranschaulichung eine beispielhafte Halb­ leiterwafer-Bearbeitungskammer 15 dargestellt. Die Bearbeitungskammer um­ faßt einen Bearbeitungsgaseinlaß 16, eine Gasverteilerplatte 18 und einen Gasauslaß 17. Das Gas kann z. B. Silan für einen CVD-Prozeß oder Sauer­ stoff zum Abheben von Photoresist sein. Der Boden 19 der Kammer 18 besteht aus Glas. Heizlampen 20, 20′, die mit größerer Anzahl vorhanden sein kön­ nen, sind so unter dem Boden der Kammer 15 angeordnet, daß sie Strahlungs­ energie durch den Kämmerboden 19 auf einen in der Kammer positionierten Halbleiterwafer 21 richten. Der Halbleiterwafer 21 wird durch drei Halter am Boden der Kammer 19 abgestützt. Zwei der Halter, nämlich 22a, 22b, sind Glasstifte, die sich ausgehend vom Boden 19 erstrecken. Der dritte Halter ist die Kontakttemperatursonde/Waferhalter-Kombination, die in Fig. 5 zu­ sammengebaut dargestellt ist. Die drei Halter können den Wafer stabil hal­ ten. Unter Verwendung nur dreier Halter ist es möglich, das Gewicht zu erhöhen, das von der kontaktierenden Temperatursonde abgestützt wird.
Die Thermoelementdrähte (nicht dargestellt) erstrecken sich aus dem diame­ tralen Loch 4 in der oberen Scheibe 2 des Sondenkopfs und durch eine Durch­ führung in der Kammerwand nach außen, wo sie mit einer geeigneten Tempera­ turmeßschaltung zur Verwendung mit Thermoelementen verbunden sind.
In Fig. 7 ist eine schematische Wiedergabe der Anordnung der Waferhalter dargestellt. Das Zentrum des Wafers ist mit X markiert. Der Ort der übli­ chen Waferhalter 22a, 22b ist durch die Punkte bei e1 und e2 gekennzeich­ net. Der Ort des kontaktierenden Temperatursensors ist mit cs bezeichnet. Der Durchmesser des Wafers ist mit D gekennzeichnet. Der kontaktierende Temperatursensor liegt näher am Zentrum des Wafers bei X als die anderen Halter. Daher trägt der kontaktierende Temperatursensor mehr des Gewichts des Wafers als die Waferhalter 22a, 22b. Dies führt zu einer Erhöhung des Kontaktdrucks zwischen der Oberfläche des Wafers und der Kontaktfläche 3 des Sensors, was zu größerer thermischer Leitfähigkeit zwischen dem Sensor und dem Wafer führt. Dies führt wiederum zu kleinerem Temperaturverzug zwischen der tatsächlichen Temperatur des Wafers und der vom kontaktieren­ den Temperatursensor gelesenen Temperatur.
Fig. 8 zeigt ein zweites Ausführungsbeispiel. Bei diesem Ausführungsbei­ spiel umfaßt ein Sondenkopf 201 einen ebenen Scheibenabschnitt 202 und einen axialen Stiel 206, der sich vom Zentrum des Bodens des Scheibenab­ schnitts aus erstreckt. Der Sondenkopf wird von einem Quarzrohr 209 gehal­ ten, das einen sich verjüngenden Bereich 213 aufweist, dessen Durchmesser an seinem Ende 214 auf einen Durchmesser verringert ist, der kleiner als der Durchmesser des ebenen Scheibenabschnitts 202 des Sondenkopfs ist. So ruht der Boden des Scheibenabschnitts auf dem Rohrende 214, während sich der Stiel 206 in das Rohrende hinein erstreckt. Es ist zu beachten, daß die Passung zwischen dem Stiel 206 und dem Rohr an seinem Ende 214 etwas locker ist, so daß der Sondenkopf auf dem Rohrende 214 kippen kann, wenn das Gewicht eines Wafers auf ihm ruht. Abhängig davon, in welcher Richtung der Sondenkopf kippt, bewegt sich das Rotationszentrum in der Kipprichtung zu einem Punkt am Ende 214. Selbst wenn die Ausrichtung der Waferhalter exakt ist und die Oberfläche des Wafers vollkommen eben ist, so daß der Sondenkopf 201 nicht verkippt wird, existiert nur Linienkontakt zwischen dem Sondenkopf 201 und dem Halterohrende 214.
Fig. 9 zeigt noch ein anderes Ausführungsbeispiel. Bei diesem Ausführungs­ beispiel liegt ein Sondenkopf 301 in Form eines relativ ebenen Kugelab­ schnitts vor. Eine Kontaktfläche 303 und die ihr abgewandte Fläche 304 sind parallel zueinander. Die Außenkante 305 des Sondenkopfs 301 ist so abgerun­ det, daß das Zentrum C leicht über der Kontaktfläche 303 liegt. Der Son­ denkopfhalter ist ein vertikal ausgerichtetes Quarzrohr 309. Der Sondenkopf wird auf dem polierten Ende 310 des Rohrs 309 gehalten, wobei die abgerun­ dete Außenkante 305 der Sonde auf dem polierten Ende des Rohrs ruht. Bei dieser Anordnung kann der Sondenkopf unter dem Gewicht des Wafers frei auf dem Rohr so verkippen, daß die Kontaktfläche 303 koplanar zur Oberfläche des gehaltenen Wafers ausgerichtet wird.
Fig. 10 zeigt eine Wärmeabschirmung 50, die an der in Fig. 5 dargestellten zusammengebauten Kontakttemperatursonde/Waferhalter-Kombination angebracht ist. Die Wärmeabschirmung 50 kann von Vorteil sein, wenn die Sonde die Tendenz zeigt, sich schneller als der Wafer zu erwärmen, wenn sie Wärme­ strahlung bei einer Anordnung wie der in Fig. 6 dargestellten oder irgend­ einer anderen Anordnung unterworfen wird. Die Wärmeabschirmung 50 verfügt über Tassenform mit einem Loch 51 an ihrem Boden 52, das den Durchmesser des mittleren Abschnitts 11 des Sondenkopfhalters 9 aufweist. Die Wärmeab­ schirmung paßt so auf die kontaktierende Temperatursonde (wie darge­ stellt), daß das Loch um den mittleren Abschnitt 11 positioniert ist und der Boden 52 auf dem unteren Abschnitt 10 des Sondenkopfhalters 9 ruht.
In Fig. 11 ist das bevorzugte Ausführungsbeispiel des Sondenkopfs 61 darge­ stellt. Der Sondenkopf ist im wesentlichen scheibenförmig. Er verfügt über eine kontaktierende Oberseite 62 und eine kleinere Unterseite 63. Die Rand­ wand 64 zwischen der Oberseite 62 und der Unterseite 63 ist notwendigerwei­ se abgewinkelt. Dieser Winkel erhöht das Verhältnis der Kontaktfläche zur Wärmekapazität des kontaktierenden Temperatursondenkopfs. Wie es mit ge­ strichelten Linien dargestellt ist, existiert ein zentrisches, axiales Sackloch 65, das sich ausgehend von der Unterseite 63 erstreckt. Dieses Loch nimmt eine temperaturempfindliche Einrichtung wie ein Thermoelement auf. In der fertiggestellten Form ist dieses Loch durch einen Klemmvorgang, wie es beschrieben wird, um die temperaturempfindliche Einrichtung herum verkleinert.
In Fig. 12 ist eine kontaktierende Temperatursonde dargestellt. Der Sonden­ kopf 61 von Fig. 11 wird durch ein Quarzelement 66 gehalten. Das Quarzele­ ment 66 hat Rohrform, und sein distales Ende 66a ist geschlossen. Das Quarzrohr ist horizontal ausgerichtet. In der Rohrwand existiert eine Öff­ nung 66b mit erhöhter Lippe, die durch Bearbeiten des Quarzes hergestellt wurde. Die erhöhte Lippe der Öffnung 66b weist einen wesentlich kleineren Durchmesser auf als die Unterseite 63 der kontaktierenden Temperatursonde. Demgemäß kann der Sondenkopf 61 frei auf dieser erhöhten Lippe unter der Einwirkung des Gewichts eines Wafers verkippen. Auch ist der Kontakt zwi­ schen der Unterseite 63 des Sondenkopfs 61 und der erhöhten Lippe der Öff­ nung 66b entweder ein linien- oder punktförmiger Kontakt, was von der Aus­ richtung des Sondenkopfs 61 abhängt, und er weist daher relativ niedrige Wärmeleitfähigkeit auf. Drähte 67 (z. B. Thermoelementdrähte) von der tem­ peraturempfindlichen Einrichtung erstrecken sich ausgehend von der Öffnung 65 im Sondenkopf 61 durch die Öffnung 66B in das Quarzelement 66. Die Dräh­ te dienen, zusätzlich zum Weiterleiten des Temperatursignals, zum Halten des Sondenkopfs am Ort über der Öffnung 66B. Innerhalb des Quarzelements 66 liegt ein Rohr 68 aus rostfreiem Stahl, das sich von nahe der Öffnung 66B bis zum proximalen Ende des Rohrs 66C erstreckt. Innerhalb des Rohrs 68 aus rostfreiem Stahl befindet sich ein Keramikisolator 69 vom Typ, wie er all­ gemein für Thermoelemente verwendet wird. Am proximalen Ende erstreckt sich das Rohr aus rostfreiem Stahl aus dem Quarzelement 66 heraus. Der Keramik­ isolator 69 erstreckt sich bis über das proximale Ende des Rohrs aus rost­ freiem Stahl hinaus. Zum Abdichten zwischen dem Quarzelement 66, dem Rohr 68 aus rostfreiem Stahl und dem Keramikisolator 69 ist am proximalen Ende der Sonde Epoxidharz mit niedrigem Dampfdruck verwendet. Die Sonde kann dadurch in einen Plasmareaktor eingesetzt werden, daß eine Druckfassung seitens der Reaktorbefestigung am Quarzelement 66 verwendet wird, wie es in der Technik bekannt ist.
Die Kombination aus dem isolierenden Quarzelement 66 und dem Luftspalt zwischen dem Quarzelement und dem Rohr aus rostfreiem Stahl dient zum ther­ mischen Isolieren der Drähte der temperaturempfindlichen Einrichtung gegen das Plasma, und sie verhindert, daß die Drähte durch das Plasma elektrisch geladen werden. Das Rohr aus rostfreiem Stahl dient dazu, die Drähte der temperaturempfindlichen Einrichtung gegen durch das Plasma erzeugte elek­ tromagnetische Störungen abzuschirmen.
Nun erfolgt eine Beschreibung für ein Verfahren zum Herstellen von Sonden­ köpfen in geeigneter Weise, insbesondere zum Herstellen der in den Fig. 11 und 12 dargestellten Sondenköpfe. Die Figur zeigt eine Spanneinrichtung, die dazu geeignet ist, die in den Fig. 1, 8 dargestellten Sondenköpfe her­ zustellen.
In Fig. 13 ist eine zweiteilige Spanneinrichtung zum Halten eines noch nicht fertiggestellten oder Rohsondenkopfs, während er in einer Presse zusammengeklemmt wird, dargestellt. Der Rohsondenkopf verfügt grob über die Abmessungen des fertiggestellten Sondenkopfs und über beinahe dasselbe Metallvolumen. Der Rohsondenkopf hat Zylinderform, ohne sich verjüngende Wände, und er ist 1/3 höher als der fertiggestellte Sondenkopf. Der Rohson­ denkopf enthält das axiale Loch 65. Die Spanneinrichtung umfaßt einen Träger 70. Der Träger 70 verfügt an seiner Oberseite über eine Vertiefung 71, deren Tiefe die Höhe des fertiggestellten Sondenkopfs bestimmt. Am Boden der Vertiefung 71 befindet sich ein zentriertes Vertikalloch 72, das zu einer Radialnut 73 im Boden des Trägers 70 hinunterführt.
Der zweite Teil der Spanneinrichtung ist eine Unterlegscheibe 75. Die Un­ terlegscheibe 75 verfügt über eine Höhe, die der Tiefe der Vertiefung 71 im Träger entspricht, und über einen Außendurchmesser, der dem Innendurchmes­ ser der Vertiefung entspricht. Das Loch 76 in der Unterlegscheibe 75 ver­ jüngt sich, und es entspricht den Abmessungen des fertiggestellten Sonden­ kopfs.
Nun wird unter Bezugnahme auf Fig. 14 der Aufbau zum Stauchen des Sonden­ kopfs beschrieben. Die Unterlegscheibe 75 wird in den Träger 70 eingelegt.
Der noch nicht fertiggestellte Sondenkopf wird in das Loch 76 in der Unter­ legscheibe 75 so eingelegt, daß die Unterseite 63 nach unten zeigt und die temperaturempfindliche Einrichtung im Loch 65 verläuft und die Drähte sich durch das Loch 72 und die Nut 73 im Träger erstrecken.
Nun wird die Spanneinrichtung mit dem noch nicht fertiggestellten Sonden­ kopf und dem Thermoelement unter den Pressenstempel einer Presse 80 gelegt. Zwischen der Spanneinrichtung und dem Pressenstempel 80 wird ein Kalibrier­ block 78 angeordnet, der auf dem Sondenkopf 61 ruht.
Der Stauchvorgang wird dadurch ausgeführt, daß die Presse so betätigt wird, daß der Kalibrierblock gegen den Sondenkopf gedrückt wird, wodurch die Scheibe auf eine Dicke zusammengedrückt wird, die der Höhe der Vertie­ fung entspricht und die axiale Bohrung 65 auf das Thermoelement hin verengt wird. Dies erzeugt eine starke mechanische sowie gute Wärmeverbindung zwi­ schen dem Sondenkopf und dem Thermoelement, ohne daß dessen empfindlicher Aufbau zerstört wird.
Nach dem Stauchvorgang wird die Kontaktfläche 62 des Sondenkopfs auf ein glattes Finish hin endbearbeitet. Dies kann dadurch erzielt werden, daß mit Schleifpapier der Körnung 600 geschliffen wird.
BEISPIEL
Um die Funktionsfähigkeit des kontaktierenden Temperatursensors zu prüfen, wurde ein von Sensarray Corporation, Santa Clara, Kalifornien, USA herge­ stelltes Wafer mit der Handelsbezeichnung SensarrayTM verwendet. Das Sens­ array-Wafer verfügt über in seine Oberfläche eingebettete Thermoelemente. Der Mittelwert der Ablesewerte der Thermoelemente am Sensarray-Wafer wurde als tatsächliche Temperatur des Wafers verwendet. Der Sensarray-Wafer wurde in eine Plasmaveraschungs-Verarbeitungskammer, wie in Fig. 6 dargestellt, eingesetzt. Bei diesem Test waren der kontaktierende Temperatursensor und die Waferhaltestifte aus Quarz symmetrisch angeordnet, so daß das Waferge­ wicht auf alle drei gleichmäßig verteilt war. Zum Beheizen des Wafers wur­ den Heizlampen verwendet, wie in Fig. 6 dargestellt. Wie es in Fig. 13 gezeigt ist, wurde die Temperatur des Wafers von 50°C bei t = 2 s auf 25°C bei t = 15 s mit einer kontanten Rate von ungefähr 13,5°C/s erhöht. Wie es aus dem Kurvenbild ersichtlich ist, folgte die von der kontaktierenden Temperatursonde gemessene Temperatur, wie durch die mit Dreiecken markierte Linie gezeigt, der Temperatur, wie sie mittels der SensarrayTM-Thermoele­ mente während dieses Temperaturanstiegs abgelesen wurde. Nachdem die Tempe­ ratur stabilisiert war, trat eine kleine Abweichung von weniger als 10° auf.
Diese Ergebnisse sind viel besser als diejenigen, die im obenangegebenen US-Patent Nr. 5,106,200 mitgeteilt sind und die im Abschnitt zur hinter­ grundbildenden Technik erörtert sind, und zwar hinsichtlich des Punkts, wie eng die von der Sonde nachgefahrene Temperatur einem vorgegebenen Tempera­ turanstieg folgt. Die Anstiegsrate (Grad/Sekunde) ist höher, und die Abwei­ chung der Sondentemperatur von der tatsächlichen Temperatur ist kleiner.

Claims (20)

1. Kontaktierender Temperatursondenkopf (1; 201; 301), dadurch gekenn­ zeichnet, daß sich seine Kontaktfläche im wesentlichen in Übereinstimmung mit einer Projektionsfläche dieses Sondenkopfs erstreckt und diese Projek­ tionsfläche der größten Projektionsfläche des Sondenkopfs entspricht oder nahezu entspricht.
2. Kontaktierende Temperatursonde (1; 201; 301) gekennzeichnet durch einen ebenen Kontaktabschnitt, eine ebene Kontaktfläche auf dem ebenen Kontaktabschnitt, einen Hohlraum (5) im ebenen Kontaktabschnitt und eine temperaturempfindliche Einrichtung (6a, 6b) in diesem Hohlraum.
3. Kontaktierende Temperatursonde mit einem Sondenkopf (1; 201; 301) und einem Sondenkopfhalter (2; 209; 309), dadurch gekennzeichnet, daß zwischen dem Sondenkopf und dem Sondenkopfhalter punkt- oder linienförmiger Kontakt besteht.
4. Sonde nach Anspruch 3, dadurch gekennzeichnet, daß die Ausrichtung des Sondenkopfs (1; 201; 301) innerhalb eines bestimmten Raumwinkelbereichs unbeschränkt ist.
5. Kontaktierende Temperatursonde, gekennzeichnet durch:
  • - einen kontaktierenden Temperatursondenkopf (1; 201; 301) mit einem ebenen Kontaktabschnitt, einer ebenen Kontaktfläche am ebenen Kontaktabschnitt, einem Hohlraum (5) im ebenen Kontaktabschnitt und einer temperaturempfind­ lichen Einrichtung (6a, 6b) innerhalb des Hohlraums; und
  • - eine Einrichtung (2; 209; 309) zum Halten des Sondenkopfs, ohne seine Ausrichtung innerhalb eines bestimmten Raumwinkels zu beschränken, so daß die Sonde innerhalb dieses Raumwinkels frei schwenken kann.
6. Sonde nach Anspruch 5, dadurch gekennzeichnet, daß der Sondenkopf (1; 201; 301) so gehaltert ist, daß er um ein Zentrum schwenkt, das näher an der Kontaktfläche liegt, als es der charakteristischen Abmessung der Kon­ taktfläche entspricht.
7. Sonde nach Anspruch 5, dadurch gekennzeichnet, daß die Einrichtung (2; 209; 309) zum Halten der Sonde (1; 201; 301) hohen Wärmewiderstand in bezug auf den Wärmewiderstand des Kontakts zwischen der Kontaktfläche und einem zu messenden Gegenstand aufweist.
8. Sonde nach Anspruch 5, dadurch gekennzeichnet, daß der Wärmewider­ stand des Kontakts zwischen der Einrichtung (2; 209; 309) zum Halten der Sonde (1; 201; 301) und dem Sondenkopf größer als der Wärmewiderstand des Kontakts zwischen der Kontaktfläche und einem zu messenden Gegenstand ist.
9. Kontakttemperatursonde/Waferhalter-Kombination, gekennzeichnet durch einen Sondenkopf (1; 201; 301) mit einer temperaturempfindlichen Einrich­ tung (6a, 6b) und einem Sondenkopfhalter (2; 209; 309), wobei der Sonden­ kopf so vom Sondenkopfhalter gehaltert wird, daß zwischen diesen ein li­ nien- oder punktförmiger Kontakt ausgebildet ist.
10. Kombination nach Anspruch 9, dadurch gekennzeichnet, daß der Sonden­ kopf (201) einen ebenen Boden aufweist und der Sondenkopfhalter (209) ein Quarzrohr (213) mit einer Öffnung mit erhöhter Lippe aufweist und der Son­ denkopf auf der erhöhten Lippe gehaltert ist.
11. Kontakttemperatursonde/Waferhalter-Kombination, gekennzeichnet durch einen Sondenkopf (1; 201; 301), ein im Sondenkopf angebrachtes Thermoele­ ment (6a, 6b) und einen Sondenkopfhalter (2; 209; 309), der den Sondenkopf so haltert, daß dieser innerhalb eines bestimmten Raumwinkelbereichs frei schwenken kann.
12. Kontaktierender Temperatursondenkopf, gekennzeichnet durch eine tempe­ raturempfindliche Einrichtung (6a, 6b), eine im wesentlichen ebene Kontakt­ fläche, die im wesentlichen parallel zu einer bestimmten Projektionsebene verläuft und sich im wesentlichen gemeinsam mit einer projizierten Fläche des Sondenkopfs, die der bestimmten Projektionsebene entspricht, erstreckt, wobei sich die bestimmte Projektionsebene dadurch auszeichnet, daß die auf diese bestimmte Projektionsebene projizierte Fläche im wesentlichen die größte projizierte Fläche unter allen auf denkbare Projektionsebenen des Sondenkopfs projizierten Flächen ist.
13. Halbleiterbearbeitungsmaschine, dadurch gekennzeichnet, daß ein bear­ beiteter Wafer an mehreren Punkten gehalten wird und an mindestens einem dieser Punkte eine kontaktierende Temperatursonde zu diesem Zweck verwendet wird, wobei dieser mindestens eine Punkt, an dem die kontaktierende Tempe­ ratursonde verwendet ist, näher am Zentrum (X) des Wafers als mindestens ein anderer der mehreren Punkte liegt.
14. Halbleiterbearbeitungsmaschine nach Anspruch 13, dadurch gekennzeich­ net, daß der Wafer nur an drei Punkten gehalten wird.
15. Verfahren zum Herstellen eines kontaktierenden Temperatursondenkopfs (1; 201; 301) mit einer Kontaktfläche, einem zusammengedrückten Loch (5) und einer in diesem zusammengedrückten Loch liegenden temperaturempfindli­ chen Einrichtung (6a, 6b), gekennzeichnet durch die folgenden Schritte:
  • - Herstellen eines Rohsondenkopfs mit dem Loch;
  • - Einführen der temperaturempfindlichen Einrichtung in das Loch;
  • - Ausüben einer Klemmkraft auf den Rohsondenkopf auf solche Weise, daß das Loch zusammengedrückt wird; und
  • - Bearbeiten der Kontaktfläche.
16. Plasmabearbeitungsvorrichtung mit
  • - einer temperaturempfindlichen Einrichtung, die in einer Plasmabearbei­ tungskammer der Plasmabearbeitungsvorrichtung liegt; und
  • - Temperatursignalleitungen, die von der temperaturempfindlichen Einrich­ tung weg aus der Kammer herausführen;
gekennzeichnet durch:
  • - eine elektrisch leitende Abschirmung, die um die Leitungen herum angeord­ net ist.
17. Vorrichtung nach Anspruch 16, gekennzeichnet durch eine elektrisch oder wärmemäßig isolierende Abschirmung (69) um die elektrisch leitende Abschirmung (68) herum.
18. Herstellvorrichtung für Halbleiterbauteile, mit
  • - einer Einrichtung zum Halten eines Halbleiterwafers und
  • - einer Einrichtung zum Zuführen von Wärme zum Halbleiterwafer;
dadurch gekennzeichnet, daß die Halteeinrichtung eine kontaktierende Tem­ peratursonde mit einem Sondenkopf (1; 201; 301) aufweist, der sich unter dem Gewicht des Wafers so selbstausrichtet, daß die Kontaktfläche koplanar zur Fläche des Wafers bleibt.
DE19638117A 1995-09-21 1996-09-18 Oberflächentemperatursondenkopf, Verfahren zu dessen Herstellung und Vorrichtungen unter Verwendung eines derartigen Sondenkopfs Withdrawn DE19638117A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/531,602 US5791782A (en) 1995-09-21 1995-09-21 Contact temperature probe with unrestrained orientation

Publications (1)

Publication Number Publication Date
DE19638117A1 true DE19638117A1 (de) 1997-03-27

Family

ID=24118314

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19638117A Withdrawn DE19638117A1 (de) 1995-09-21 1996-09-18 Oberflächentemperatursondenkopf, Verfahren zu dessen Herstellung und Vorrichtungen unter Verwendung eines derartigen Sondenkopfs

Country Status (3)

Country Link
US (1) US5791782A (de)
JP (1) JPH09126903A (de)
DE (1) DE19638117A1 (de)

Families Citing this family (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110288A (en) * 1998-12-17 2000-08-29 Eaton Corporation Temperature probe and measurement method for low pressure process
EP1026489B1 (de) * 1999-02-01 2007-04-25 Axcelis Technologies, Inc. Kontakt-Temperatursensor mit thermischer Entkopplung zwischen Sensorkopf und Hitzeschild der elektrischen Zuleitungen
US6481886B1 (en) * 2000-02-24 2002-11-19 Applied Materials Inc. Apparatus for measuring pedestal and substrate temperature in a semiconductor wafer processing system
US6353210B1 (en) * 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
US7080940B2 (en) * 2001-04-20 2006-07-25 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US6572265B1 (en) * 2001-04-20 2003-06-03 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US7080941B1 (en) * 2001-11-13 2006-07-25 Lam Research Corporation Temperature sensing system for temperature measurement in a high radio frequency environment
US6796711B2 (en) 2002-03-29 2004-09-28 Axcelis Technologies, Inc. Contact temperature probe and process
WO2006009278A2 (ja) * 2004-07-23 2006-01-26 Intellectual Property Bank シリコンウエハ基板係止ステージ、シリコンウエハ基板温度測定法
JP4882069B2 (ja) * 2004-09-10 2012-02-22 国立大学法人 岡山大学 ワークの表面状態検出方法及び表面状態検出装置
US7267481B2 (en) * 2005-09-01 2007-09-11 Honda Motor Co., Ltd Vehicle floor temperature sensing fixture
US7651269B2 (en) * 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
FR2984409B1 (fr) * 2011-12-16 2014-01-10 Renault Sa Dispositif de securite de fonctionnement d'un ecran de pot catalytique
JP2014033148A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd 光照射装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN103383508B (zh) * 2013-07-22 2015-12-23 京东方科技集团股份有限公司 一种液晶滴下装置及液晶滴下方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP2018537662A (ja) * 2016-09-20 2018-12-20 ルマセンス テクノロジーズ ホールディングズ, インク. 温度プローブ
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN109341889B (zh) * 2018-11-12 2021-06-22 哈尔滨工业大学 环抛加工中光学元件内部温度的测量方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11415463B2 (en) * 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor
CN112050954B (zh) * 2019-06-05 2022-06-24 志圣工业股份有限公司 温度量测装置
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN214335132U (zh) * 2021-01-13 2021-10-01 迪科特测试科技(苏州)有限公司 探测系统及探测装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2736784A (en) * 1953-06-01 1956-02-28 Bendix Aviat Corp Electric probe
US4081291A (en) * 1973-12-21 1978-03-28 British Steel Corporation Temperature measurement sensor
US4355911A (en) * 1980-09-09 1982-10-26 John Tymkewicz Surface temperature sensing device
US4416553A (en) * 1981-08-26 1983-11-22 Noral, Inc. Temperature-sensing apparatus
FR2619619B1 (fr) * 1987-08-18 1990-01-12 Jouan Capteur pour la mesure de la temperature interne d'une cuve de centrifugeur refrigeree ou thermo- statee
US5356486A (en) * 1991-03-04 1994-10-18 Applied Materials, Inc. Combined wafer support and temperature monitoring device

Also Published As

Publication number Publication date
JPH09126903A (ja) 1997-05-16
US5791782A (en) 1998-08-11

Similar Documents

Publication Publication Date Title
DE19638117A1 (de) Oberflächentemperatursondenkopf, Verfahren zu dessen Herstellung und Vorrichtungen unter Verwendung eines derartigen Sondenkopfs
DE69505146T2 (de) Temperaturkalibrierungssubstrat
DE3851752T2 (de) Drehbarer mechanismus als substratträger mit vorrichtung für temperaturmessung und bestimmt für chemische bedampfungseinrichtungen.
DE69625964T2 (de) Methode und Vorrichtung zur Eichung eines Infrarot-Pyrometers in einer Wärmebehandlungsanlage
DE69623967T2 (de) Verfahren und vorrichtung zur thermischen behandlung von halbleitersubstraten
EP1540717B1 (de) Verfahren zum oxidieren einer schicht und zugehörige aufnahmevorrichtungen für ein substrat
EP0318641B1 (de) Verfahren und Vorrichtung zur Übertragung thermischer Energie auf bzw. von einem plattenförmigen Substrat
DE69812117T2 (de) Referenz-schwarzkörper zum einsatz bei rta
DE19711702C1 (de) Anordnung zur Bearbeitung einer Substratscheibe und Verfahren zu deren Betrieb
DE4310324A1 (de) Struktur eines Mikro-Pirani Sensors und dessen Temperaturkompensationsverfahren
DE10146321A1 (de) Sensorbaustein mit einem Sensorelement, das von einem Heizelement umgeben ist
DE19733891A1 (de) Beschleunigungssensor und Verfahren zu dessen Herstellung
EP1101085B1 (de) Verfahren und vorrichtung zum kalibrieren von emissivitätsunabhängigen temperaturmessungen
DE10248728B4 (de) Heizaggregat für die Herstellung einer Halbleitervorrichtung und dessen Verwendung
DE69410749T2 (de) Verbesserter Beschleunigungsmessaufnehmer sowie Verfahren zu dessen Herstellung
DE69927921T2 (de) Temperatursonde und Messmethode für Niederdruckprozess
WO1988008965A2 (fr) Dispositif de mesure de la temperature de corps semi-conducteurs, son procede de fabrication et procede de mesure de la temperature de corps semi-conducteurs lors de processus de malleabilisation
DE4223133C2 (de)
DE102007016553A1 (de) Vorrichtung und Verfahren zur elektrischen Kontaktierung von Halbleiter-Bauelementen auf einem Wafer
EP0336335B1 (de) Vorrichtung zum herstellen amorpher Keramikstoffe oder Metallegierungen
WO2002095795A2 (de) Vorrichtung zur aufnahme von scheibenförmigen objekten
DE3803336C2 (de) Verfahren zur Temperaturkontrolle von Temperprozessen in der Halbleitertechnik
WO2001071303A2 (de) Druck- und temperatursensor
EP0133502A2 (de) Sonde zur Feststellung von brennbaren Gasen
EP0405153B1 (de) Messkopf für die Differenzthermoanalyse

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8139 Disposal/non-payment of the annual fee